Skip to content

Commit

Permalink
Merge pull request #457 from tier4/sync-awf-latest
Browse files Browse the repository at this point in the history
chore: sync awf-latest
  • Loading branch information
tier4-autoware-public-bot[bot] committed Sep 8, 2022
2 parents 4abaedc + 60801be commit 6eb9ec9
Show file tree
Hide file tree
Showing 19 changed files with 323 additions and 95 deletions.
1 change: 1 addition & 0 deletions .github/sync-files.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -19,3 +19,4 @@
files:
- source: .github/workflows/build-and-test.yaml
- source: .github/workflows/build-and-test-differential.yaml
- source: .github/workflows/cancel-previous-workflows.yaml
18 changes: 12 additions & 6 deletions .github/workflows/build-and-test-differential.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -21,9 +21,6 @@ jobs:
container: ros:humble
build-depends-repos: build_depends.repos
steps:
- name: Cancel previous runs
uses: styfle/cancel-workflow-action@0.10.0

- name: Check out repository
uses: actions/checkout@v3
with:
Expand Down Expand Up @@ -64,7 +61,7 @@ jobs:

clang-tidy-differential:
runs-on: ubuntu-latest
container: ros:galactic
container: ros:humble
needs: build-and-test-differential
steps:
- name: Check out repository
Expand All @@ -79,11 +76,20 @@ jobs:
id: get-modified-packages
uses: autowarefoundation/autoware-github-actions/get-modified-packages@v1

- name: Get modified files
id: get-modified-files
uses: tj-actions/changed-files@v28
with:
files: |
**/*.cpp
**/*.hpp
- name: Run clang-tidy
if: ${{ steps.get-modified-packages.outputs.modified-packages != '' }}
if: ${{ steps.get-modified-files.outputs.all_changed_files != '' }}
uses: autowarefoundation/autoware-github-actions/clang-tidy@v1
with:
rosdistro: galactic
rosdistro: humble
target-packages: ${{ steps.get-modified-packages.outputs.modified-packages }}
target-files: ${{ steps.get-modified-files.outputs.all_changed_files }}
clang-tidy-config-url: https://github.com/autowarefoundation/autoware/main/.clang-tidy
build-depends-repos: build_depends.repos
14 changes: 14 additions & 0 deletions .github/workflows/cancel-previous-workflows.yaml
Original file line number Diff line number Diff line change
@@ -0,0 +1,14 @@
name: cancel-previous-workflows

on:
pull_request_target:

jobs:
cancel-previous-workflows:
runs-on: ubuntu-latest
steps:
- name: Cancel previous runs
uses: styfle/cancel-workflow-action@0.10.0
with:
workflow_id: all
all_but_latest: true
1 change: 0 additions & 1 deletion .yamllint.yaml
Original file line number Diff line number Diff line change
@@ -1,7 +1,6 @@
extends: default

ignore: |
.clang-tidy
*.param.yaml
rules:
Expand Down
66 changes: 63 additions & 3 deletions autoware_launch/rviz/autoware.rviz
Original file line number Diff line number Diff line change
Expand Up @@ -1001,7 +1001,7 @@ Visualization Manager:
View Path:
Alpha: 0.30000001192092896
Color: 115; 210; 22
Constant Color: true
Constant Color: false
Value: true
Width: 2
View Velocity:
Expand Down Expand Up @@ -1307,15 +1307,75 @@ Visualization Manager:
Value: false
- Class: rviz_default_plugins/MarkerArray
Enabled: false
Name: BehaviorPath
Name: Avoidance
Namespaces:
{}
Topic:
Depth: 5
Durability Policy: Volatile
History Policy: Keep Last
Reliability Policy: Reliable
Value: /planning/scenario_planning/lane_driving/behavior_planning/behavior_path_planner/debug/avoidance
Value: false
- Class: rviz_default_plugins/MarkerArray
Enabled: false
Name: LaneChange
Namespaces:
{}
Topic:
Depth: 5
Durability Policy: Volatile
History Policy: Keep Last
Reliability Policy: Reliable
Value: /planning/scenario_planning/lane_driving/behavior_planning/behavior_path_planner/debug/lanechange
Value: false
- Class: rviz_default_plugins/MarkerArray
Enabled: false
Name: LaneFollowing
Namespaces:
{}
Topic:
Depth: 5
Durability Policy: Volatile
History Policy: Keep Last
Reliability Policy: Reliable
Value: /planning/scenario_planning/lane_driving/behavior_planning/behavior_path_planner/debug/lanefollowing
Value: false
- Class: rviz_default_plugins/MarkerArray
Enabled: false
Name: PullOver
Namespaces:
{}
Topic:
Depth: 5
Durability Policy: Volatile
History Policy: Keep Last
Reliability Policy: Reliable
Value: /planning/scenario_planning/lane_driving/behavior_planning/behavior_path_planner/debug/pullover
Value: false
- Class: rviz_default_plugins/MarkerArray
Enabled: false
Name: PullOut
Namespaces:
{}
Topic:
Depth: 5
Durability Policy: Volatile
History Policy: Keep Last
Reliability Policy: Reliable
Value: /planning/scenario_planning/lane_driving/behavior_planning/behavior_path_planner/debug/pullout
Value: false
- Class: rviz_default_plugins/MarkerArray
Enabled: false
Name: SideShift
Namespaces:
{}
Topic:
Depth: 5
Durability Policy: Volatile
History Policy: Keep Last
Reliability Policy: Reliable
Value: /planning/scenario_planning/lane_driving/behavior_planning/behavior_path_planner/debug/markers
Value: /planning/scenario_planning/lane_driving/behavior_planning/behavior_path_planner/debug/sideshift
Value: false
- Class: rviz_common/Group
Displays:
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@
enable_overshoot_emergency: true
enable_large_tracking_error_emergency: true
enable_slope_compensation: true
enable_keep_stopped_until_steer_convergence: false
enable_keep_stopped_until_steer_convergence: true

# state transition
drive_state_stop_dist: 0.5
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -56,7 +56,7 @@
stop_state_entry_ego_speed: 0.001
stop_state_entry_target_speed: 0.001
converged_steer_rad: 0.1
keep_steer_control_until_converged: false
keep_steer_control_until_converged: true
new_traj_duration_time: 1.0
new_traj_end_dist: 0.3

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
<include file="$(find-pkg-share ndt_scan_matcher)/launch/ndt_scan_matcher.launch.xml">
<arg name="input_map_points_topic" value="/map/pointcloud_map"/>
<arg name="input/pointcloud" value="/localization/util/downsample/pointcloud"/>
<arg name="input_initial_pose_topic" value="/localization/pose_twist_fusion_filter/pose_with_covariance_without_yawbias"/>
<arg name="input_initial_pose_topic" value="/localization/pose_twist_fusion_filter/biased_pose_with_covariance"/>


<arg name="output_pose_topic" value="/localization/pose_estimator/pose"/>
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -11,8 +11,8 @@
<arg name="output_odom_name" value="kinematic_state"/>
<arg name="output_pose_name" value="pose"/>
<arg name="output_pose_with_covariance_name" value="/localization/pose_with_covariance"/>
<arg name="output_pose_without_yawbias_name" value="pose_without_yawbias"/>
<arg name="output_pose_with_covariance_without_yawbias_name" value="pose_with_covariance_without_yawbias"/>
<arg name="output_biased_pose_name" value="biased_pose"/>
<arg name="output_biased_pose_with_covariance_name" value="biased_pose_with_covariance"/>
<arg name="output_twist_name" value="twist"/>
<arg name="output_twist_with_covariance_name" value="twist_with_covariance"/>

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -20,3 +20,10 @@
path_interval: 2.0

visualize_drivable_area_for_shared_linestrings_lanelet: true

lateral_distance_max_threshold: 5.0
longitudinal_distance_min_threshold: 3.0
expected_front_deceleration: -1.0
expected_rear_deceleration: -1.0
rear_vehicle_reaction_time: 2.0
rear_vehicle_safety_time_margin: 2.0
Original file line number Diff line number Diff line change
@@ -1,19 +1,19 @@
/**:
ros__parameters:
lane_change:
min_stop_distance: 5.0
stop_time: 2.0
hysteresis_buffer_distance: 2.0
lane_change_prepare_duration: 4.0
lane_changing_duration: 8.0
lane_change_finish_judge_buffer: 3.0
minimum_lane_change_velocity: 5.6
prediction_duration: 8.0
prediction_time_resolution: 0.5
static_obstacle_velocity_thresh: 1.5
maximum_deceleration: 1.0
lane_change_prepare_duration: 4.0 # [s]
lane_changing_duration: 8.0 # [s]
minimum_lane_change_prepare_distance: 4.0 # [m]
lane_change_finish_judge_buffer: 3.0 # [m]
minimum_lane_change_velocity: 5.6 # [m/s]
prediction_time_resolution: 0.5 # [s]
maximum_deceleration: 1.0 # [m/s2]
lane_change_sampling_num: 10
abort_lane_change_velocity_thresh: 0.5
abort_lane_change_angle_thresh: 10.0 # [deg]
abort_lane_change_distance_thresh: 0.3 # [m]
enable_abort_lane_change: true
enable_collision_check_at_prepare_phase: true
use_predicted_path_outside_lanelet: true
use_all_predicted_path: true
enable_blocked_by_obstacle: false
publish_debug_marker: false
Original file line number Diff line number Diff line change
@@ -1,25 +1,30 @@
/**:
ros__parameters:
pull_out:
min_stop_distance: 2.0
stop_time: 0.0
hysteresis_buffer_distance: 1.0
pull_out_prepare_duration: 4.0
pull_out_duration: 2.0
th_arrived_distance: 1.0
th_stopped_velocity: 0.01
th_stopped_time: 1.0
collision_check_margin: 1.0
pull_out_finish_judge_buffer: 1.0
minimum_pull_out_velocity: 2.0
prediction_duration: 30.0
prediction_time_resolution: 0.5
static_obstacle_velocity_thresh: 1.5
maximum_deceleration: 1.0
enable_collision_check_at_prepare_phase: false
use_predicted_path_outside_lanelet: false
use_all_predicted_path: false
use_dynamic_object: true
enable_blocked_by_obstacle: false
pull_out_search_distance: 30.0
before_pull_out_straight_distance: 5.0
after_pull_out_straight_distance: 5.0
# shift pull out
enable_shift_pull_out: true
shift_pull_out_velocity: 2.0
pull_out_sampling_num: 4
before_pull_out_straight_distance: 0.0
minimum_shift_pull_out_distance: 20.0
maximum_lateral_jerk: 2.0
minimum_lateral_jerk: 0.5
deceleration_interval: 15.0
# geometric pull out
enable_geometric_pull_out: true
geometric_pull_out_velocity: 1.0
arc_path_interval: 1.0
lane_departure_margin: 0.2
backward_velocity: -1.0
pull_out_max_steer_angle: 0.26 # 15deg
# search start pose backward
enable_back: true
search_priority: "efficient_path" # "efficient_path" or "short_back_distance"
max_back_distance: 15.0
backward_search_resolution: 2.0
backward_path_update_duration: 3.0
Original file line number Diff line number Diff line change
@@ -1,44 +1,50 @@
/**:
ros__parameters:
pull_over:
request_length: 100.0
th_arrived_distance_m: 1.0
th_stopped_velocity_mps: 0.01
th_stopped_time_sec: 2.0 # It must be greater than the state_machine's.
request_length: 200.0
th_arrived_distance: 1.0
th_stopped_velocity: 0.01
th_stopped_time: 2.0 # It must be greater than the state_machine's.
pull_over_velocity: 3.0
pull_over_minimum_velocity: 0.3
margin_from_boundary: 0.5
decide_path_distance: 10.0
min_acc: -0.5
enable_shift_parking: true
enable_arc_forward_parking: true
enable_arc_backward_parking: false
maximum_deceleration: 1.0
# goal research
search_priority: "efficient_path" # "efficient_path" or "close_goal"
enable_goal_research: true
search_priority: "efficient_path" # "efficient_path" or "close_goal"
forward_goal_search_length: 20.0
backward_goal_search_length: 20.0
goal_search_interval: 1.0
goal_to_obj_margin: 2.0
goal_to_obstacle_margin: 2.0
# occupancy grid map
collision_check_margin: 0.5
use_occupancy_grid: true
occupancy_grid_collision_check_margin: 0.0
theta_size: 360
obstacle_threshold: 60
# object recognition
use_object_recognition: true
object_recognition_collision_check_margin: 1.0
# shift path
enable_shift_parking: true
pull_over_sampling_num: 4
maximum_lateral_jerk: 2.0
minimum_lateral_jerk: 0.5
deceleration_interval: 15.0
maximum_deceleration: 1.0
after_pull_over_straight_distance: 5.0
before_pull_over_straight_distance: 5.0
# parallel parking path
enable_arc_forward_parking: true
enable_arc_backward_parking: true
after_forward_parking_straight_distance: 2.0
after_backward_parking_straight_distance: 2.0
forward_parking_velocity: 0.3
backward_parking_velocity: -0.3
forward_parking_lane_departure_margin: 0.0
backward_parking_lane_departure_margin: 0.0
arc_path_interval: 1.0
# hazard. Not used now.
pull_over_max_steer_angle: 0.35 # 20deg
# hazard on when parked
hazard_on_threshold_dis: 1.0
hazard_on_threshold_vel: 0.5
# check safety with dynamic objects. Not used now.
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,8 @@
intersection:
state_transit_margin_time: 0.5
stop_line_margin: 3.0
keep_detection_line_margin: 1.0 # distance (toward path end) from generated stop line. keep detection if ego is before this line and ego.vel < keep_detection_vel_thr
keep_detection_vel_thr: 0.833 # == 3.0km/h
stuck_vehicle_detect_dist: 3.0 # this should be the length between cars when they are stopped. The actual stuck vehicle detection length will be this value + vehicle_length.
stuck_vehicle_ignore_dist: 7.0 # obstacle stop max distance(5.0m) + stuck vehicle size / 2 (0.0m-)
stuck_vehicle_vel_thr: 0.833 # 0.833m/s = 3.0km/h
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -58,7 +58,7 @@
option:
steer_limit_constraint: true
fix_points_around_ego: true
plan_from_ego: false
plan_from_ego: true
max_plan_from_ego_length: 10.0
visualize_sampling_num: 1
enable_manual_warm_start: true
Expand Down
Loading

0 comments on commit 6eb9ec9

Please sign in to comment.