Skip to content

Commit

Permalink
[swss]: Update swss submodules change
Browse files Browse the repository at this point in the history
sonic-swss:
[vnet]: Extend Bitmap VNET test with "remove" flows (sonic-net#900)
[vxlanorch] Ambiguous return code for removeNextHopTunnel (sonic-net#880)
Address review comment: remove data member m_entriesCreated, which is introduced for dependancy resolution purpose. (sonic-net#839)
Set LAG mtu value based on kernel netlink msg (sonic-net#922)
[orchagent]: Remove try/catch for correct coredump file (sonic-net#790)
[aclorch] unittest by gtest (sonic-net#924)
[orchagent]: Added support of PFC WD for BFN platform (sonic-net#823)
[vnetorch]: Fix tunnel route removal flow for bitmap VNET (sonic-net#912)
pkill -9 zebra for frr warm restart VS test fix (sonic-net#927)
swss-orchagent: add new orch for vnet routes/tunnel routes tables in CONFIG_DB  (sonic-net#907)
[debian]: Do not build test when building with real SAI (sonic-net#932)

sonic-swss-common:
Add schema for dot1p to tc mapping config table (sonic-net#274)
Fix MIRROR_SESSION table macro name (sonic-net#264)
[schema] Add VNET Route tables in config_db (sonic-net#279)
[debian] increment debian compatibility to 10 to enable parallel package build (sonic-net#280)
White-list clear_stats op from orchagent to syncd (sonic-net#281)
Correct comment (sonic-net#282)

sonic-sairedis:
[debian]: Change build order in target binary (sonic-net#452)
[debian] increment debian compatibility to 10 to enable parallel package build (sonic-net#461)
Full sleep wait flex counter polling thread when POLL_COUNTER_STATUS is disable (sonic-net#462)
add support for SAI_ATTR_VALUE_TYPE_ACL_CAPABILITY (sonic-net#460)
Check if port VID exists in db on flex counter query (sonic-net#464)
Full sleep wait change for PFC watchdog (sonic-net#465)
Add synchronous clear_stats operation path (sonic-net#463)
Modify sai_create_port to breakout a port for virtual switch (sonic-net#454)
Fix typo  (sonic-net#467)

Signed-off-by: Shu0T1an ChenG <shuche@microsoft.com>
  • Loading branch information
Shu0T1an ChenG committed Jun 13, 2019
1 parent ab5afad commit ae2f7f5
Show file tree
Hide file tree
Showing 3 changed files with 3 additions and 3 deletions.
2 changes: 1 addition & 1 deletion src/sonic-sairedis
2 changes: 1 addition & 1 deletion src/sonic-swss
2 changes: 1 addition & 1 deletion src/sonic-swss-common

0 comments on commit ae2f7f5

Please sign in to comment.