Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

SIM106: Remove false-positives #95

Merged
merged 1 commit into from
Feb 10, 2022
Merged

SIM106: Remove false-positives #95

merged 1 commit into from
Feb 10, 2022

Conversation

MartinThoma
Copy link
Owner

Don't trigger if the 'else' block ends with a
ValueError or a NotImplementedError

Don't trigger if the 'else' block ends with a
ValueError or a NotImplementedError
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

1 participant