Skip to content

use intermediate bus for sorting between arbitered selection of AXI p… #1

use intermediate bus for sorting between arbitered selection of AXI p…

use intermediate bus for sorting between arbitered selection of AXI p… #1

GitHub Actions / verible-verilog-format failed Jul 19, 2024 in 2s

reviewdog [verible-verilog-format] report

reported by reviewdog 🐶

Findings (179)

core/axi_shim.sv|25|
core/axi_shim.sv|284|
core/cache_subsystem/axi_adapter.sv|25|
core/cache_subsystem/axi_adapter.sv|35|
core/cache_subsystem/axi_adapter.sv|105|
core/cache_subsystem/axi_adapter.sv|484|
core/cache_subsystem/axi_adapter.sv|496|
core/cache_subsystem/axi_adapter.sv|504|
core/cache_subsystem/axi_adapter.sv|506|
core/cache_subsystem/axi_adapter.sv|509|
core/cache_subsystem/axi_adapter.sv|519|
core/cache_subsystem/axi_adapter.sv|521|
core/cache_subsystem/axi_adapter.sv|526|
core/cache_subsystem/axi_adapter.sv|531|
core/cache_subsystem/axi_adapter.sv|536|
core/cache_subsystem/axi_adapter.sv|541|
core/cache_subsystem/axi_adapter.sv|546|
core/cache_subsystem/axi_adapter.sv|551|
core/cache_subsystem/axi_adapter.sv|556|
core/cache_subsystem/axi_adapter.sv|561|
core/cache_subsystem/axi_adapter.sv|563|
core/cache_subsystem/axi_adapter.sv|565|
core/cache_subsystem/cache_ctrl.sv|31|
core/cache_subsystem/cache_ctrl.sv|47|
core/cache_subsystem/cache_ctrl.sv|52|
core/cache_subsystem/cache_ctrl.sv|65|
core/cache_subsystem/cache_ctrl.sv|136|
core/cache_subsystem/cache_ctrl.sv|146|
core/cache_subsystem/cache_ctrl.sv|151|
core/cache_subsystem/cache_ctrl.sv|153|
core/cache_subsystem/cache_ctrl.sv|159|
core/cache_subsystem/cache_ctrl.sv|212|
core/cache_subsystem/cache_ctrl.sv|215|
core/cache_subsystem/cache_ctrl.sv|245|
core/cache_subsystem/cache_ctrl.sv|249|
core/cache_subsystem/cache_ctrl.sv|253|
core/cache_subsystem/cache_ctrl.sv|378|
core/cache_subsystem/cache_ctrl.sv|392|
core/cache_subsystem/cache_ctrl.sv|394|
core/cache_subsystem/cache_ctrl.sv|398|
core/cache_subsystem/cache_ctrl.sv|402|
core/cache_subsystem/cache_ctrl.sv|404|
core/cache_subsystem/cache_ctrl.sv|409|
core/cache_subsystem/cache_ctrl.sv|419|
core/cache_subsystem/miss_handler.sv|63|
core/cache_subsystem/miss_handler.sv|86|
core/cache_subsystem/miss_handler.sv|166|
core/cache_subsystem/miss_handler.sv|169|
core/cache_subsystem/miss_handler.sv|178|
core/cache_subsystem/miss_handler.sv|267|
core/cache_subsystem/miss_handler.sv|292|
core/cache_subsystem/miss_handler.sv|294|
core/cache_subsystem/miss_handler.sv|296|
core/cache_subsystem/miss_handler.sv|325|
core/cache_subsystem/miss_handler.sv|329|
core/cache_subsystem/miss_handler.sv|338|
core/cache_subsystem/miss_handler.sv|345|
core/cache_subsystem/miss_handler.sv|369|
core/cache_subsystem/miss_handler.sv|377|
core/cache_subsystem/miss_handler.sv|388|
core/cache_subsystem/miss_handler.sv|398|
core/cache_subsystem/miss_handler.sv|419|
core/cache_subsystem/miss_handler.sv|430|
core/cache_subsystem/miss_handler.sv|450|
core/cache_subsystem/miss_handler.sv|509|
core/cache_subsystem/miss_handler.sv|523|
core/cache_subsystem/miss_handler.sv|534|
core/cache_subsystem/miss_handler.sv|557|
core/cache_subsystem/miss_handler.sv|571|
core/cache_subsystem/miss_handler.sv|576|
core/cache_subsystem/miss_handler.sv|580|
core/cache_subsystem/miss_handler.sv|723|
core/cache_subsystem/miss_handler.sv|744|
core/cache_subsystem/snoop_cache_ctrl.sv|13|
core/cache_subsystem/snoop_cache_ctrl.sv|49|
core/cache_subsystem/snoop_cache_ctrl.sv|74|
core/cache_subsystem/snoop_cache_ctrl.sv|77|
core/cache_subsystem/snoop_cache_ctrl.sv|83|
core/cache_subsystem/snoop_cache_ctrl.sv|87|
core/cache_subsystem/snoop_cache_ctrl.sv|115|
core/cache_subsystem/snoop_cache_ctrl.sv|126|
core/cache_subsystem/snoop_cache_ctrl.sv|128|
core/cache_subsystem/snoop_cache_ctrl.sv|130|
core/cache_subsystem/snoop_cache_ctrl.sv|132|
core/cache_subsystem/snoop_cache_ctrl.sv|135|
core/cache_subsystem/snoop_cache_ctrl.sv|138|
core/cache_subsystem/snoop_cache_ctrl.sv|143|
core/cache_subsystem/snoop_cache_ctrl.sv|156|
core/cache_subsystem/snoop_cache_ctrl.sv|167|
core/cache_subsystem/snoop_cache_ctrl.sv|182|
core/cache_subsystem/snoop_cache_ctrl.sv|195|
core/cache_subsystem/snoop_cache_ctrl.sv|228|
core/cache_subsystem/snoop_cache_ctrl.sv|232|
core/cache_subsystem/snoop_cache_ctrl.sv|236|
core/cache_subsystem/snoop_cache_ctrl.sv|281|
core/cache_subsystem/snoop_cache_ctrl.sv|285|
core/cache_subsystem/snoop_cache_ctrl.sv|290|
core/cache_subsystem/snoop_cache_ctrl.sv|292|
core/cache_subsystem/snoop_cache_ctrl.sv|320|
core/cache_subsystem/snoop_cache_ctrl.sv|335|
core/cache_subsystem/snoop_cache_ctrl.sv|354|
core/cache_subsystem/std_cache_subsystem.sv|69|
core/cache_subsystem/std_cache_subsystem.sv|77|
core/cache_subsystem/std_cache_subsystem.sv|80|
core/cache_subsystem/std_cache_subsystem.sv|85|
core/cache_subsystem/std_cache_subsystem.sv|88|
core/cache_subsystem/std_cache_subsystem.sv|274|
core/cache_subsystem/std_nbdcache.sv|49|
core/cache_subsystem/std_nbdcache.sv|63|
core/cache_subsystem/std_nbdcache.sv|69|
core/cache_subsystem/std_nbdcache.sv|78|
core/cache_subsystem/std_nbdcache.sv|85|
core/cache_subsystem/std_nbdcache.sv|93|
core/cache_subsystem/std_nbdcache.sv|97|
core/cache_subsystem/std_nbdcache.sv|102|
core/cache_subsystem/std_nbdcache.sv|111|
core/cache_subsystem/std_nbdcache.sv|113|
core/cache_subsystem/std_nbdcache.sv|123|
core/cache_subsystem/std_nbdcache.sv|157|
core/cache_subsystem/std_nbdcache.sv|163|
core/cache_subsystem/std_nbdcache.sv|166|
core/cache_subsystem/std_nbdcache.sv|209|
core/cache_subsystem/std_nbdcache.sv|213|
core/cache_subsystem/std_nbdcache.sv|217|
core/cache_subsystem/std_nbdcache.sv|292|
core/cache_subsystem/std_nbdcache.sv|302|
core/cache_subsystem/std_nbdcache.sv|349|
core/controller.sv|182|
core/cva6.sv|1177|
core/cva6.sv|1241|
core/include/ariane_ace_pkg.sv|74|
core/include/ariane_ace_pkg.sv|90|
core/include/ariane_ace_pkg.sv|152|
core/include/ariane_ace_pkg.sv|162|
core/include/ariane_pkg.sv|343|
core/include/config_pkg.sv|233|
core/include/cv32a60x_config_pkg.sv|155|
core/include/cv32a65x_config_pkg.sv|133|
core/include/cv32a6_embedded_config_pkg.sv|154|
core/include/cv32a6_ima_sv32_fpga_config_pkg.sv|155|
core/include/cv32a6_imac_sv0_config_pkg.sv|155|
core/include/cv32a6_imac_sv32_config_pkg.sv|155|
core/include/cv32a6_imafc_sv32_config_pkg.sv|155|
core/include/cv64a6_dual_config_pkg.sv|13|
core/include/cv64a6_dual_config_pkg.sv|18|
core/include/cv64a6_dual_config_pkg.sv|20|
core/include/cv64a6_dual_config_pkg.sv|27|
core/include/cv64a6_dual_config_pkg.sv|34|
core/include/cv64a6_dual_config_pkg.sv|42|
core/include/cv64a6_dual_config_pkg.sv|44|
... (Too many findings. Dropped some findings)

Filtered Findings (0)