Skip to content

Commit

Permalink
Merge pull request #16079 from light-and-ray/fix_sd2_switching
Browse files Browse the repository at this point in the history
fix sd2 switching
  • Loading branch information
AUTOMATIC1111 committed Jul 6, 2024
2 parents ffead92 + 731eb72 commit 477869c
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion modules/sd_models_config.py
Original file line number Diff line number Diff line change
Expand Up @@ -33,7 +33,7 @@ def is_using_v_parameterization_for_sd2(state_dict):

import ldm.modules.diffusionmodules.openaimodel

device = devices.cpu
device = devices.device

with sd_disable_initialization.DisableInitialization():
unet = ldm.modules.diffusionmodules.openaimodel.UNetModel(
Expand Down

0 comments on commit 477869c

Please sign in to comment.