Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Quagga log will not be able to log to /var/log/quagga #1877

Closed
richard28530 opened this issue Jul 26, 2018 · 3 comments
Closed

Quagga log will not be able to log to /var/log/quagga #1877

richard28530 opened this issue Jul 26, 2018 · 3 comments
Assignees

Comments

@richard28530
Copy link
Contributor

#1810 Prefix docker container name to syslog syslogtag (program name)

after this modification log of bgp docker looks like below

Jul 26 15:45:40.888666 S9130-Core INFO bgp/bgpd[62]: %ADJCHANGE: neighbor 10.10.118.25(S9130-Leaf-Right) in vrf Default Up
Jul 26 15:45:40.889960 S9130-Core INFO bgp/bgpd[62]: %ADJCHANGE: neighbor 10.10.116.25(S9130-Leaf-Left) in vrf Default Up
Jul 26 15:45:40.890904 S9130-Core INFO bgp/bgpd[62]: %ADJCHANGE: neighbor 10.10.119.25(S9130-Leaf-Right) in vrf Default Up
Jul 26 15:45:40.894264 S9130-Core INFO bgp/bgpd[62]: %ADJCHANGE: neighbor 10.10.117.25(S9130-Leaf-Left) in vrf Default Up

Quagga log aggregate by specify the $programname, but the programname can not contain certain character.

cat /etc/rsyslog.d/00-sonic.conf
## Quagga rules

if $programname == ["quagga",
                    "watchquagga",
                    "zebra"]
    then {
    /var/log/quagga/zebra.log
    stop
}

if $programname == "bgpd" then {
    /var/log/quagga/bgpd.log
    stop
}

programname
the “static” part of the tag, as defined by BSD syslogd. For example, when TAG is “named[12345]”, programname is “named”.

Precisely, the programname is terminated by either (whichever occurs first):

end of tag
nonprintable character
‘:’
‘[‘
‘/’
The above definition has been taken from the FreeBSD syslogd sources.

Please note that some applications include slashes in the static part of the tag, e.g. “app/foo[1234]”. In this case, programname is “app”. If they store an absolute path name like in “/app/foo[1234]”, programname will become empty (“”). If you need to actually store slashes as part of the programname, you can use the global option

global(parser.permitSlashInProgramName=”on”)

to permit this. Then, a syslogtag of “/app/foo[1234]” will result in programname being “/app/foo”. Note: this option is available starting at rsyslogd version 8.25.0.

@lguohan
Copy link
Collaborator

lguohan commented Aug 12, 2018

instead of using '/', maybe we can use #

like bgp#bgpd

@lguohan
Copy link
Collaborator

lguohan commented Aug 12, 2018

@richard28530, thanks a lot for report. please check the #1918

@lguohan
Copy link
Collaborator

lguohan commented Aug 13, 2018

thanks for reporting.

@lguohan lguohan closed this as completed Aug 13, 2018
judyjoseph added a commit that referenced this issue Sep 2, 2021
sonic-swss

73f6f68 [Flex Counters] Delay flex counters even if tables are present in the DB (#1877)
5edb9e5 [buffer orch] Bugfix: Don't query counter SAI_BUFFER_POOL_STAT_XOFF_ROOM_WATERMARK_BYTES on a pool where it is not supported (#1857)
fce0c60 [crm] Fix for Issue #8036 (#1829)

sonic-utilities

2630ac1 [Fast-reboot] Set flex counters delay indicator to prevent flex counters enablement after fast-reboot (#1768)
606f1b1 [portstat pfcstat] Unify the packet number format in the output of portstat and pfcstat in all cases (#1755)
2c6a15e [ecnconfig] Fix exception seen during display and add unit tests (#1784)
9b1995e Fix logic in RIF counters print (#1732)

sonic-swss-comon

3e7b81f Add a new field for FLEX_COUNTER_TABLE to indicate delay for flex counters (#523)
vivekrnv added a commit to vivekrnv/sonic-buildimage that referenced this issue Nov 11, 2021
…ollback, checkpoint, list-checkpoints} (sonic-net#1885)

5e95fc3 [debug dump util] Vlan Module Added (sonic-net#1877)
7f0bbd9 [debug dump util] Portchannel module added (sonic-net#1853)
50bbfa0 Removed -t option and other missing fixes (sonic-net#1884)

update sonic-utilties submodule

Signed-off-by: Vivek Reddy Karri <vkarri@nvidia.com>
lguohan pushed a commit that referenced this issue Nov 11, 2021
4bcaa60 [generic-config-updater] Adding logging to config-{replace, rollback, checkpoint, list-checkpoints} (#1885)
5e95fc3 [debug dump util] Vlan Module Added (#1877)
7f0bbd9 [debug dump util] Portchannel module added (#1853)
50bbfa0 Removed -t option and other missing fixes (#1884)

update sonic-utilties submodule

Signed-off-by: Vivek Reddy Karri <vkarri@nvidia.com>
theasianpianist pushed a commit to theasianpianist/sonic-buildimage that referenced this issue Feb 5, 2022
… DB (sonic-net#1877)

**What I did**
Check if delay indicator flag is exist and 'true', if it does, skip the counter enablement.

**Why I did it**
Currently if flex counters tables are present in config DB the delay mechanism will not take place.
This change is to make sure the delay will take place even if the tables are present in the DB.

**How I verified it**
Observer counters are created after enable_counters script is called.

**Details if related**
taras-keryk pushed a commit to taras-keryk/sonic-buildimage that referenced this issue Apr 28, 2022
What I did
Implemented vlan and vlan_member modules for debug dump utility.

How I did it
Used infrastructure and followed examples in
sonic-net#1666
sonic-net#1667
sonic-net#1668
sonic-net#1669
sonic-net#1670

How to verify it
On switch: dump state vlan <vlan_name>
dump state vlan_member '<vlan_name|<member_name>'
Unit test: pytest-3 dump_tests/module_tests/vlan_test.py (same test file covers both vlan and vlan_member)
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants