Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

multi threads compile error:deadlock detected #117899

Open
Windforce17 opened this issue Nov 14, 2023 · 10 comments
Open

multi threads compile error:deadlock detected #117899

Windforce17 opened this issue Nov 14, 2023 · 10 comments
Labels
C-bug Category: This is a bug. I-ICE Issue: The compiler panicked, giving an Internal Compilation Error (ICE) ❄️ T-compiler Relevant to the compiler team, which will review and decide on the PR/issue. WG-compiler-parallel Working group: Parallelizing the compiler

Comments

@Windforce17
Copy link

Code

Meta

rustc --version --verbose:

rustc 1.76.0-nightly (ba7c7a301 2023-11-13)
binary: rustc
commit-hash: ba7c7a301984967c8c13adb580ef9b86ba706a83
commit-date: 2023-11-13
host: x86_64-unknown-linux-gnu
release: 1.76.0-nightly
LLVM version: 17.0.4

Error output

error: could not compile `tracing-core` (lib)

Caused by:
  process didn't exit successfully: `/home/zhichen/.rustup/toolchains/nightly-x86_64-unknown-linux-gnu/bin/rustc --crate-name tracing_core --edition=2018 /home/zhichen/.cargo/registry/src/rsproxy.cn-0dccff568467c15b/tracing-core-0.1.32/src/lib.rs --error-format=json --json=diagnostic-rendered-ansi,artifacts,future-incompat --diagnostic-width=150 --crate-type lib --emit=dep-info,metadata,link -C embed-bitcode=no -C debuginfo=2 --cfg 'feature="once_cell"' --cfg 'feature="std"' -C metadata=51aab342ecde7319 -C extra-filename=-51aab342ecde7319 --out-dir /home/zhichen/work/sca-scan/target/debug/deps -L dependency=/home/zhichen/work/sca-scan/target/debug/deps --extern once_cell=/home/zhichen/work/sca-scan/target/debug/deps/libonce_cell-fe848ebb2f78f957.rmeta --cap-lints allow -Z threads=20` (signal: 6, SIGABRT: process abort signal)
warning: build failed, waiting for other jobs to finish...
Backtrace

thread '<unnamed>' panicked at compiler/rustc_query_system/src/query/job.rs:543:9:
deadlock detected
stack backtrace:
   0:     0x7fb88a173731 - std::backtrace_rs::backtrace::libunwind::trace::hde577349c76cce7f
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
   1:     0x7fb88a173731 - std::backtrace_rs::backtrace::trace_unsynchronized::he8b81d0b329d8fa6
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
   2:     0x7fb88a173731 - std::backtrace::Backtrace::create::hedeb484d7c8418aa
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/std/src/backtrace.rs:331:13
   3:     0x7fb88a173680 - std::backtrace::Backtrace::force_capture::hbd16e8a4afae6b37
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/std/src/backtrace.rs:313:9
   4:     0x7fb88ceed18c - std[70c331c52e792e77]::panicking::update_hook::<alloc[ac42baf6c7d94bfa]::boxed::Box<rustc_driver_impl[662ed899c4750396]::install_ice_hook::{closure#0}>>::{closure#0}
   5:     0x7fb88a18e7d8 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h01a2b076b44e44f8
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/alloc/src/boxed.rs:2021:9
   6:     0x7fb88a18e7d8 - std::panicking::rust_panic_with_hook::h24b0c40fd36c8eee
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/std/src/panicking.rs:783:13
   7:     0x7fb88a18e4f9 - std::panicking::begin_panic_handler::{{closure}}::hf0b52c49926ec9f4
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/std/src/panicking.rs:649:13
   8:     0x7fb88a18bae6 - std::sys_common::backtrace::__rust_end_short_backtrace::h7112d64e85585c9d
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/std/src/sys_common/backtrace.rs:170:18
   9:     0x7fb88a18e292 - rust_begin_unwind
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/std/src/panicking.rs:645:5
  10:     0x7fb88a1dad05 - core::panicking::panic_fmt::h2c71ea7e0c1376d6
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/core/src/panicking.rs:72:14
  11:     0x7fb88d7c4555 - rustc_query_system[16adaf3b80342c]::query::job::deadlock
  12:     0x7fb88cee86ec - std[70c331c52e792e77]::sys_common::backtrace::__rust_begin_short_backtrace::<rustc_interface[7bd5efb410cadaba]::util::run_in_thread_pool_with_globals<rustc_interface[7bd5efb410cadaba]::interface::run_compiler<core[4823536d104e8f97]::result::Result<(), rustc_span[43b04719702b35f]::ErrorGuaranteed>, rustc_driver_impl[662ed899c4750396]::run_compiler::{closure#1}>::{closure#0}, core[4823536d104e8f97]::result::Result<(), rustc_span[43b04719702b35f]::ErrorGuaranteed>>::{closure#2}::{closure#1}, ()>
  13:     0x7fb88ceef7a1 - <<std[70c331c52e792e77]::thread::Builder>::spawn_unchecked_<rustc_interface[7bd5efb410cadaba]::util::run_in_thread_pool_with_globals<rustc_interface[7bd5efb410cadaba]::interface::run_compiler<core[4823536d104e8f97]::result::Result<(), rustc_span[43b04719702b35f]::ErrorGuaranteed>, rustc_driver_impl[662ed899c4750396]::run_compiler::{closure#1}>::{closure#0}, core[4823536d104e8f97]::result::Result<(), rustc_span[43b04719702b35f]::ErrorGuaranteed>>::{closure#2}::{closure#1}, ()>::{closure#1} as core[4823536d104e8f97]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
  14:     0x7fb88a198695 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::hee7d8415653916ee
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/alloc/src/boxed.rs:2007:9
  15:     0x7fb88a198695 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::hf8af5df67977eb57
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/alloc/src/boxed.rs:2007:9
  16:     0x7fb88a198695 - std::sys::unix::thread::Thread::new::thread_start::hc878850cbd82a8f9
                               at /rustc/ba7c7a301984967c8c13adb580ef9b86ba706a83/library/std/src/sys/unix/thread.rs:108:17
  17:     0x7fb889f853ec - start_thread
                               at ./nptl/pthread_create.c:444:8
  18:     0x7fb88a005a4c - __GI___clone3
                               at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
  19:                0x0 - <unknown>


rustc version: 1.76.0-nightly (ba7c7a301 2023-11-13)
platform: x86_64-unknown-linux-gnu

@Windforce17 Windforce17 added C-bug Category: This is a bug. I-ICE Issue: The compiler panicked, giving an Internal Compilation Error (ICE) ❄️ T-compiler Relevant to the compiler team, which will review and decide on the PR/issue. labels Nov 14, 2023
@rustbot rustbot added the needs-triage This issue may need triage. Remove it if it has been sufficiently triaged. label Nov 14, 2023
@Windforce17
Copy link
Author

Only happened once and I can't reproduce it again

@saethlin saethlin added WG-compiler-parallel Working group: Parallelizing the compiler and removed needs-triage This issue may need triage. Remove it if it has been sufficiently triaged. labels Nov 14, 2023
@Windforce17
Copy link
Author

Trigge again when I tring salvo "hello,world" https://next.salvo.rs/book/guide.htm.
same rustc version and stack backtrace. compiler flags: --crate-type lib -C embed-bitcode=no -C debuginfo=2 -Z threads=10

@SparrowLii
Copy link
Member

Trigge again when I tring salvo "hello,world" https://next.salvo.rs/book/guide.htm. same rustc version and stack backtrace. compiler flags: --crate-type lib -C embed-bitcode=no -C debuginfo=2 -Z threads=10

The link is 404 now. Could you provide the minimal example causes the ICE?

@Windforce17
Copy link
Author

https://next.salvo.rs/book/guide.html
Cargo.toml

[package]
name = "hello"
version = "0.1.0"
edition = "2021"
publish = false

[dependencies]
salvo = "0.55"
tokio = { version = "1", features = ["macros"] }
tracing = "0.1"
tracing-subscriber = "0.3"

main.rs

use salvo::prelude::*;

#[handler]
async fn hello() -> &'static str {
    "Hello World"
}

#[tokio::main]
async fn main() {
    tracing_subscriber::fmt().init();

    let router = Router::new().get(hello);
    let acceptor = TcpListener::new("127.0.0.1:5800").bind().await;
    Server::new(acceptor).serve(router).await;
}

With 100 threads, another ICE came up.
Error output

Caused by:
  process didn't exit successfully: `/home/zhichen/.rustup/toolchains/nightly-x86_64-unknown-linux-gnu/bin/rustc --crate-name futures_channel --edition=2018 /home/zhichen/.cargo/registry/src/rsproxy.cn-0dccff568467c15b/futures-channel-0.3.29/src/lib.rs --error-format=json --json=diagnostic-rendered-ansi,artifacts,future-incompat --diagnostic-width=226 --crate-type lib --emit=dep-info,metadata,link -C embed-bitcode=no -C debuginfo=2 --cfg 'feature="alloc"' --cfg 'feature="default"' --cfg 'feature="std"' -C metadata=78b8c7387d6038d3 -C extra-filename=-78b8c7387d6038d3 --out-dir /home/zhichen/work/ice/target/debug/deps -L dependency=/home/zhichen/work/ice/target/debug/deps --extern futures_core=/home/zhichen/work/ice/target/debug/deps/libfutures_core-983e792123578a50.rmeta --cap-lints allow -Z threads=100` (signal: 6, SIGABRT: process abort signal)

Backtrace

thread 'rustc' panicked at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/compiler/rustc_middle/src/ty/context/tls.rs:111:50:
no ImplicitCtxt stored in tls
stack backtrace:
   0:     0x7f7840372ba1 - std::backtrace_rs::backtrace::libunwind::trace::h763934eab9920b03
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
   1:     0x7f7840372ba1 - std::backtrace_rs::backtrace::trace_unsynchronized::h730dffcdcd716a81
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
   2:     0x7f7840372ba1 - std::backtrace::Backtrace::create::hdc99983be98cf629
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/std/src/backtrace.rs:332:13
   3:     0x7f7840372af0 - std::backtrace::Backtrace::force_capture::h37beaee160867e59
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/std/src/backtrace.rs:313:9
   4:     0x7f78430f107c - std[5d638caf98b5ba42]::panicking::update_hook::<alloc[cb88902311cca46a]::boxed::Box<rustc_driver_impl[f8e48bc2f6c7d3ec]::install_ice_hook::{closure#0}>>::{closure#0}
   5:     0x7f784038dcb8 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::hb33985b02b01aa42
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/alloc/src/boxed.rs:2021:9
   6:     0x7f784038dcb8 - std::panicking::rust_panic_with_hook::h2d5cf68acee50620
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/std/src/panicking.rs:783:13
   7:     0x7f784038da0e - std::panicking::begin_panic_handler::{{closure}}::hf9c21ea8ad4b0243
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/std/src/panicking.rs:657:13
   8:     0x7f784038afc6 - std::sys_common::backtrace::__rust_end_short_backtrace::ha6115684e2bf6472
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/std/src/sys_common/backtrace.rs:171:18
   9:     0x7f784038d772 - rust_begin_unwind
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/std/src/panicking.rs:645:5
  10:     0x7f78403da365 - core::panicking::panic_fmt::h8057ef3526209751
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/core/src/panicking.rs:72:14
  11:     0x7f78403da113 - core::panicking::panic_display::h5c0f80c77062be8a
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/core/src/panicking.rs:177:5
  12:     0x7f78403da113 - core::panicking::panic_str::h98cbc88b952bd545
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/core/src/panicking.rs:152:5
  13:     0x7f78403da113 - core::option::expect_failed::hbb8b6f2b6b1ec1f9
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/core/src/option.rs:1980:5
  14:     0x7f78430f0c9b - rustc_interface[32e1e4e415e6f769]::util::run_in_thread_pool_with_globals::<rustc_interface[32e1e4e415e6f769]::interface::run_compiler<core[68b429d73a2952c8]::result::Result<(), rustc_span[e7be5c5836b15019]::ErrorGuaranteed>, rustc_driver_impl[f8e48bc2f6c7d3ec]::run_compiler::{closure#0}>::{closure#0}, core[68b429d73a2952c8]::result::Result<(), rustc_span[e7be5c5836b15019]::ErrorGuaranteed>>::{closure#2}
  15:     0x7f7842ce7e55 - <rayon_core[5796627a59369dda]::registry::WorkerThread>::wait_until_cold
  16:     0x7f7842ce4a23 - <rayon_core[5796627a59369dda]::registry::ThreadBuilder>::run
  17:     0x7f78430f2ea7 - <<crossbeam_utils[f0384298b4c7cb93]::thread::ScopedThreadBuilder>::spawn<<rayon_core[5796627a59369dda]::ThreadPoolBuilder>::build_scoped<rustc_interface[32e1e4e415e6f769]::util::run_in_thread_pool_with_globals<rustc_interface[32e1e4e415e6f769]::interface::run_compiler<core[68b429d73a2952c8]::result::Result<(), rustc_span[e7be5c5836b15019]::ErrorGuaranteed>, rustc_driver_impl[f8e48bc2f6c7d3ec]::run_compiler::{closure#0}>::{closure#0}, core[68b429d73a2952c8]::result::Result<(), rustc_span[e7be5c5836b15019]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[32e1e4e415e6f769]::util::run_in_thread_pool_with_globals<rustc_interface[32e1e4e415e6f769]::interface::run_compiler<core[68b429d73a2952c8]::result::Result<(), rustc_span[e7be5c5836b15019]::ErrorGuaranteed>, rustc_driver_impl[f8e48bc2f6c7d3ec]::run_compiler::{closure#0}>::{closure#0}, core[68b429d73a2952c8]::result::Result<(), rustc_span[e7be5c5836b15019]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[68b429d73a2952c8]::result::Result<(), rustc_span[e7be5c5836b15019]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[68b429d73a2952c8]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
  18:     0x7f78430e8c6e - std[5d638caf98b5ba42]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[cb88902311cca46a]::boxed::Box<dyn core[68b429d73a2952c8]::ops::function::FnOnce<(), Output = ()> + core[68b429d73a2952c8]::marker::Send>, ()>
  19:     0x7f78430f2b33 - <<std[5d638caf98b5ba42]::thread::Builder>::spawn_unchecked_<alloc[cb88902311cca46a]::boxed::Box<dyn core[68b429d73a2952c8]::ops::function::FnOnce<(), Output = ()> + core[68b429d73a2952c8]::marker::Send>, ()>::{closure#1} as core[68b429d73a2952c8]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
  20:     0x7f7840397b75 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he9b12f47a7dc278f
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/alloc/src/boxed.rs:2007:9
  21:     0x7f7840397b75 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h5827c2c26438c8dd
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/alloc/src/boxed.rs:2007:9
  22:     0x7f7840397b75 - std::sys::unix::thread::Thread::new::thread_start::h6c34f95013d1ff19
                               at /rustc/3a85a5cfe7884f94e3cb29a606913d7989ad9b48/library/std/src/sys/unix/thread.rs:108:17
  23:     0x7f78401683ec - start_thread
                               at ./nptl/pthread_create.c:444:8
  24:     0x7f78401e8a4c - __GI___clone3
                               at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
  25:                0x0 - <unknown>


rustc version: 1.76.0-nightly (3a85a5cfe 2023-11-20)
platform: x86_64-unknown-linux-gnu

@Windforce17
Copy link
Author

#117784

@SparrowLii
Copy link
Member

SparrowLii commented Nov 21, 2023

Thanks, deadlock detected and no ImplicitCtxt stored in tls are currently the two main problems that occur in parallel front-ends. (You can look at the label WG-compiler-parallel)

We should address them separately. I gonna first try to reproduce the deadlock problem using your example.

@SparrowLii
Copy link
Member

Can you reproduce this bug using the latest nightly version to get the new error output?

@Windforce17
Copy link
Author

but I can't confirm is this the same error.
Generate from compiler, but look like striped

thread 'main' panicked at compiler/rustc_data_structures/src/jobserver.rs:77:33:
jobserver check should have been called earlier
stack backtrace:
thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
jobserver check should have been called earlier
stack backtrace:
thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
jobserver check should have been called earlier
stack backtrace:
thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
jobserver check should have been called earlier
stack backtrace:
thread 'thread ' rustcrustc ' panicked at ' panicked at  compiler/rustc_data_structures/src/jobserver.rscompiler/rustc_data_structures/src/jobserver.rs0::: 7373 :: 3333 :
:
 jobserver check should have been called earlierjobserver check should have been called earlier0x
stack backtrace:

stack backtrace:
7f3abe372da1 - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
        0 :          0x 7f3abe372da1  -  std ::thread ' backtrace_rsrustc ::' panicked at  backtracecompiler/rustc_data_structures/src/jobserver.rs             at :::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rslibunwindthread '73:::rustc:104trace' panicked at 33:::compiler/rustc_data_structures/src/jobserver.rs:
5hfb89046adb39a1f6:jobserver check should have been called earlierthread '

73
stack backtrace:
rustc  :' panicked at   33compiler/rustc_data_structures/src/jobserver.rs  :
:1 jobserver check should have been called earlier73:  
stack backtrace:
:  33  :
  jobserver check should have been called earlier  
stack backtrace:
0x 7f3abe372da1  -   std  ::  backtrace_rs 0:: : backtrace  ::  trace_unsynchronized             at  ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs he858d65ebae3f15b:0x
1047f3abe372da1 : -  5std 
::   backtrace_rs   ::   backtrace 10:: : : libunwind   ::   trace   ::   hfb89046adb39a1f6 0x0x
 thread '7f3abe372da17f3abe372da1  rustc -  -   ' panicked at stdstd  compiler/rustc_data_structures/src/jobserver.rs::::  :backtrace_rsbacktrace_rs              at 73:::: /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:backtracebacktrace :33:::: 66:
trace_unsynchronizedlibunwind :jobserver check should have been called earlier:::: 5
stack backtrace:
he858d65ebae3f15btrace 

::   hfb89046adb39a1f6   
     2   :                        at    /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs   :0x  1047f3abe372da1  : -   5std  
::   backtrace   ::   Backtrace  1::  : create             at   ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs  h95765c5eb3aae243:             at  
66/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs  ::0x 51047f3abe372da1 
: -   5std  
::   backtrace_rs 2 :: :  backtrace  1::  : trace_unsynchronized   ::   he858d65ebae3f15b 0x 
 7f3abe372da1    - 0x  std7f3abe372da1  :: -   backtracestd              at :::: /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rsBacktracebacktrace_rs ::::: 332createbacktrace ::::: 13h95765c5eb3aae243trace_unsynchronized 

::   he858d65ebae3f15b   
     3   :                     at    /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs   :   660x  :7f3abe372cf0  5 -   
std   ::   backtrace   ::  2Backtrace  : ::   force_capture             at   ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs  h7a0aadbac89d8491:             at  
332/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs0x ::7f3abe372da1 1366 -  
:std  5::  
backtrace   :: 3 Backtrace :  ::  2create  : ::   h95765c5eb3aae243   
 0x   7f3abe372cf0    - 0x  std7f3abe372da1  :: -   backtracestd              at :::: /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rsBacktracebacktrace ::::: 313force_captureBacktrace ::::: 9h7a0aadbac89d8491create 

::   h95765c5eb3aae243   
      4   :                   at    /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs   :   332 0x : 7f3ac10e5fac 13  -  
 std   [   6d41dfb9cf74c95   ] 3 :: :  panicking               at ::  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rsupdate_hook  :::             at  313</rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs0x:alloc:7f3abe372cf09[332 - 
9a2fac5b77daa993:std ]13:: ::
backtrace boxed ::4:: Backtrace: Box :: <3force_capture rustc_driver_impl: :: [ h7a0aadbac89d8491 1d564bc98f788831 
0x]  7f3ac10e5fac::   - install_ice_hook0x std::{7f3abe372cf0 [closure -  6d41dfb9cf74c95#std ]0:: ::}backtrace panicking>:: ::>Backtrace update_hook::{:: ::closureforce_capture <#:: alloc0h7a0aadbac89d8491 [}
 9a2fac5b77daa993
  ]   ::   boxed               at ::5 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rsBox:  :<  313rustc_driver_impl  :[  91d564bc98f788831  
] 0x :: 7f3abe38e618 install_ice_hook  -  ::{4< closure: alloc # :: 0 boxed } :: > Box             at >0x</rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs::{7f3ac10e5facF:closure - ,313#stdA:0[>9}6d41dfb9cf74c95 

]as  ::   panickingcore  ::::45update_hookops: : ::::  <function  alloc::  [Fn  9a2fac5b77daa993<0x0x]Args7f3ac10e5fac7f3abe38e618::> -  - boxed>std<::::[allocBoxcall6d41dfb9cf74c95::<::]boxedrustc_driver_implh1808f6b90fed7e6c::::[
panickingBox1d564bc98f788831 ::<] update_hookF:: ::,install_ice_hook <A::{ alloc>closure [ # 9a2fac5b77daa993as0 ] } ::core> boxed::> ::ops::{ Box::closure <function# rustc_driver_impl::0 [Fn} 1d564bc98f788831<
 ]Args  ::>              at install_ice_hook> /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs::{::5:closurecall: 2021#:: :0h1808f6b90fed7e6c 9}
 
>   > 0x ::{ 7f3abe38e618 closure  - 6# <: 0 alloc } :: 
 boxed   ::   Box0x  <7f3abe38e6185 F - :  ,std  A::  >panicking   ::  asrust_panic_with_hook0x  ::7f3abe38e618             at coreh96ea0305fa803d66 - /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs::
<:ops alloc2021:: :::function boxed9:: ::
Fn Box < < Args F > ,6> A: :: > call   :: as h1808f6b90fed7e6c   
 core0x  ::7f3abe38e618  ops -   ::std  function::              at ::panicking /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rsFn:: :<rust_panic_with_hook 783Args:: :>h96ea0305fa803d66 13>
 
::   call   ::   h1808f6b90fed7e6c  7
  :                        at    /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs   :0x  20217f3abe38e36e  : -   9std  
::   panicking   ::   begin_panic_handler  6::              at : { /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs { : closure 783 } : }             at 130x ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs
7f3abe38e618 h0915cbfe68ab6650:  -  
2021 std0 : :::  97panicking   
: ::     rust_panic_with_hook     ::0    h96ea0305fa803d66: 0x 6 
 7f3abe372da1 : 0x   -   7f3abe38e36e  std   -    ::  std  0xbacktrace_rs  ::  7f3abe372da1:: 0xpanicking 0 - backtrace 7f3abe38e618:: : std::  - begin_panic_handler  ::libunwind std::  backtrace_rs:: ::{   ::trace panicking{   backtrace::             at ::closure 0x ::hfb89046adb39a1f6/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rsrust_panic_with_hook} 7f3abe372da10libunwind
:::}  - : :: 657h96ea0305fa803d66:: std trace :
h0915cbfe68ab6650 :: :: 13 
 backtrace_rs hfb89046adb39a1f6 
   :: 
                 at backtrace0x     /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs::7f3abe372da1      :libunwind -   8   783::std  :    :trace::    0 13::backtrace_rs    :  
hfb89046adb39a1f6::       
backtrace        ::  0x      libunwind  7f3abe38b926    7 ::   -  0x  :  trace  std 7f3abe372da1 0  ::  ::  -  : thread '  hfb89046adb39a1f6              at sys_common std  rustc  
 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:: ::  ' panicked at     :backtrace             at backtrace_rs  compiler/rustc_data_structures/src/jobserver.rs0x   104::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs::             at  :7f3abe38e36e   :__rust_end_short_backtrace:backtrace/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs0x73 -                at 5::783:::7f3abe372da1:std  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs
h2c4199a5eff137ca:libunwind657 - 33::  : 
13:::std:
panicking  104  
trace13::jobserver check should have been called earlier::  :   ::
backtrace_rs
stack backtrace:
begin_panic_handler  51  hfb89046adb39a1f6 ::::  
:   
 backtrace{     7  ::{             at     :  8libunwindclosure/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs      : ::}: 1     trace}104 : 0x    :::::  7f3abe372da1     hfb89046adb39a1f6h0915cbfe68ab66505   -  0x   


  std 7f3abe38e36e 0x                 at  ::  -  7f3abe38b9260   /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs0xbacktrace_rs std  - :    :7f3abe372da1:: :: std   1104 - backtrace panicking ::   : :std:: :: sys_common    5::trace_unsynchronized begin_panic_handler ::    
backtrace_rs::             at :: backtrace0x    ::he858d65ebae3f15b/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs{ ::7f3abe372da1    backtrace
:{ __rust_end_short_backtrace -   0x :: 171closure ::std  7f3abe372da11trace_unsynchronized :}             at h2c4199a5eff137ca::   - : :: 18}/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs
backtrace_rs  std he858d65ebae3f15b 
::: ::  :: 
  h0915cbfe68ab6650104 backtrace  backtrace_rs    
: ::  ::     5 libunwind  backtrace0x  9 
 ::  ::7f3abe372da1  :    trace             at              at trace_unsynchronized -       ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs::std      hfb89046adb39a1f6::he858d65ebae3f15b::    1 
104657
backtrace_rs    :   :: ::  0x    513 backtrace  7f3abe38e0d2    

 ::   -        trace_unsynchronized  rust_begin_unwind       ::  
 0x     he858d65ebae3f15b              at   7f3abe372da1  18 
 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs   -   : :    :  std       66  ::             at       :  backtrace_rs/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs                  at 5  :::     /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs
              at backtrace171 0x0x  :  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs::: 7f3abe372da17f3abe38b926  66  :trace_unsynchronized18  -  -   :  657::
 stdstd  52 :he858d65ebae3f15b  ::::  
:  13
  backtrace_rssys_common     
               at ::::             at       9/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rsbacktracebacktrace/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs      : :::::: 2     104trace_unsynchronized__rust_end_short_backtrace66 : 0x 8  ::::::  7f3abe372da1 :   5he858d65ebae3f15bh2c4199a5eff137ca5   -              at    



  std/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs  0x                 at  :::  7f3abe38e0d2    /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs0xbacktrace645   -     :7f3abe372da1:::0x rust_begin_unwind1  266 - Backtrace57f3abe38b926 
:   : :std::
 -       5::create std      
backtrace:: ::       ::h95765c5eb3aae24310sys_common       Backtrace
: ::  0x  0x ::  backtrace  7f3abe372da1  7f3abe372da12create  ::             at   -    - : ::  __rust_end_short_backtrace/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs std  std h95765c5eb3aae243  ::: ::  :: 
 0xh2c4199a5eff137ca66 backtrace_rs  backtrace   7f3abe3dab65
: ::  ::    -  5 backtrace  Backtrace0x  core 
 ::  ::7f3abe372da1  ::   trace_unsynchronized             at              at create -   panicking   ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs::std  ::   he858d65ebae3f15b::h95765c5eb3aae243::  panic_fmt 2 
66171
backtrace  :: :   :: ::  h732625e0997ea57d               at  518 Backtrace  
  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs 

 ::     :    create     645    ::    0x:    h95765c5eb3aae243              at   7f3abe372da15 29 
 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs   - 
 : :    :  std       332  ::       :  backtrace10                  at 13  :::      /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs
              at Backtrace  0x0x  :  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs::  7f3abe372da17f3abe38e0d2  332  :create   -  -   :  171::  stdrust_begin_unwind  133 :h95765c5eb3aae2430x ::
  
:  18
7f3abe3dab65 backtrace      
  -              at ::              at       core/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rsBacktrace /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs      ::::: : 3              at   panicking66create 332 : 0x/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs9 ::::: :  7f3abe372cf0::  panic_fmt5h95765c5eb3aae243 13   - 72  ::

 
  std:  h732625e0997ea57d                 at  ::14  
    /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs0xbacktrace
       :7f3abe372cf0:: 0x  2  3332 - Backtrace 7f3abe38e0d2  :   : :std::11 -       13::force_capture: rust_begin_unwind      
backtrace:: 
       ::h7a0aadbac89d8491         Backtrace
    0x  0x ::     7f3abe372da1              at 7f3abe372cf03force_capture 0x              at   -  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs - : :: 7f3abe3da913 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs std :std h7a0aadbac89d8491  -  : :: 645:: 
 core 332 backtrace :backtrace   :: : :: 5::   panicking 13 Backtrace 
Backtrace0x  :: 
 ::  ::7f3abe372cf0  panic_display   create             at  force_capture -   ::   ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs10::std  h8c47f47080565be5               at h95765c5eb3aae243:: h7a0aadbac89d8491::  
 3/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs
332 
backtrace    : : :  ::     72 13  Backtrace     : 
  ::     14  0x force_capture                at  
  7f3abe3dab65 ::   /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs0x    -  h7a0aadbac89d8491              at  :7f3abe372cf0  3core 
 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs 645 - 11 : ::   : :std:   panicking   313 5::   ::   : 
backtrace   panic_fmt               at 9  ::   ::  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs
  Backtrace  0xh732625e0997ea57d  :  10::0x 7f3abe372cf0
  313  : force_capture7f3abe3da913  -    :   :: -  std   94  h7a0aadbac89d8491core ::   
:   
:: backtrace                  at   panicking             at ::              at    /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs0x ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rsBacktrace /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs   :7f3abe3dab65 panic_display::: : 4 196 -  ::332force_capture 313 : 0x:core h8c47f47080565be5::: :  7f3ac10e5fac5:: 
13h7a0aadbac89d8491 9   - 
panicking  

 
  std ::                   at  [ panic_fmt      /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs0x6d41dfb9cf74c9512::      :7f3ac10e5fac]: h732625e0997ea57d  3  4313 - :: 
  :   : :stdpanicking        9[::        
6d41dfb9cf74c95update_hook                   at   ]::0x     /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs  ::<7f3abe3da913   0x :0x panickingalloc -    7f3abe372cf0 727f3ac10e5fac4::[core              at   -  : - : update_hook9a2fac5b77daa993:: /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs std 14std ::]panicking : :: 
[ <:::: 313 backtrace  6d41dfb9cf74c95 allocboxedpanic_str : ::  ] [:::: 9 Backtrace 11::0x9a2fac5b77daa993Boxh62f8683724b70c3b 
             at :: : panicking7f3ac10e5fac]<
  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rsforce_capture             at  :: - ::rustc_driver_impl   :::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs update_hookstdboxed[   196h7a0aadbac89d8491: ::[::1d564bc98f788831  4:
313 <6d41dfb9cf74c95Box]  : 5 :0xalloc]<::              at  
 97f3abe3da913[::rustc_driver_implinstall_ice_hook /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs   
 - 9a2fac5b77daa993panicking[::{ :   core ]::1d564bc98f788831closure 72 12 :: ::update_hook]# :0x:  panicking boxed::::0 147f3ac10e5fac  ::4::<install_ice_hook} 
 -   panic_display: Boxalloc::{>  std  :: <[closure>  [  h8c47f47080565be5 rustc_driver_impl9a2fac5b77daa993#::{ 116d41dfb9cf74c950x 
 []0closure : ]7f3abe3da913   1d564bc98f788831::}#  :: -   0x]boxed>0  panickingcore  7f3ac10e5fac::::>}  ::::   - install_ice_hookBox::{
             at  update_hookpanicking  std::{<closure /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs0x::::  [closurerustc_driver_impl# :7f3abe3da913<panic_str  6d41dfb9cf74c95#[0 171 - alloc::             at  ]01d564bc98f788831}5:core[h62f8683724b70c3b/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/backtrace.rs ::}]
: 5::9a2fac5b77daa993
: panicking>::  
panicking] 313 ::>install_ice_hook   :::: : update_hook::{::{   panic_displayboxed 9 ::closureclosure5 13:::: 
 <##: 0x: h8c47f47080565be5Box   alloc00 7f3abe38e618 
<   [}}  -   rustc_driver_impl   9a2fac5b77daa993
> <  [  4] > alloc  1d564bc98f788831              at : :: ::{0x::0x ] /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs boxed closure7f3abe38e618boxed7f3abe3da913 :: : ::5# - :: -  install_ice_hook 196 Box: 0<Boxcore ::{ : < }alloc<:: closure 50xrustc_driver_impl 
::Foption # 
7f3ac10e5fac[  boxed,:: 0   - 1d564bc98f788831  ::Aexpect_failed }  std]0x Box>:: > 12[::7f3abe38e6185< h46537fbd36d4b59a >             at : 6d41dfb9cf74c95install_ice_hook - : Fas
 ::{/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs ]::{< ,   closure: ::closurealloc Acore  #171 panicking#:: >::  0: ::0boxed  ops  }50xupdate_hook}::0xas::              at 

7f3abe3da913::>Box7f3abe38e618 function /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs   - <>< - core:: :  corealloc::{F<::Fn 196 13::[closure,allocops< :5: panicking9a2fac5b77daa993#A::::Args 5:  ::]0>boxedfunction> 
  panic_str::} ::::>    ::boxed
asBoxFn::    h62f8683724b70c3b::  <<call 12 0x
Box coreFArgs:: : 0x7f3abe3da913 < ::,>h1808f6b90fed7e6c  7f3abe38e618 -  rustc_driver_impl5opsA>
   - core [: ::>::   <:: 1d564bc98f788831 function call              at  allocoption ] ::as:: /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs0x:::: :: Fn h1808f6b90fed7e6c :7f3abe3da913boxedexpect_failed install_ice_hook <core
 1980 - :::: ::{0xArgs::  :coreBoxh46537fbd36d4b59a closure7f3abe38e618>ops  5::<
 # - >::  
panickingF  0<::function   ::,  }alloccall::   panic_strA  >::::Fn  14::>  >boxedh1808f6b90fed7e6c<  : h62f8683724b70c3b   ::{::
Args   
as  closureBox >       #< >    core  0F ::    ::              at }, call  0x ops /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs
A ::  7f3ac10c11fc :: : > h1808f6b90fed7e6c              at  -  function 171   
 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rsrustc_data_structures :: : as   :[ Fn 55    202138b38f5828d2238c < 
: core   :] Args   ::               at 9:: >   ops  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs
jobserver > 13 ::  : :: ::             at :  function  2021 release_thread call/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs 0x::  : 
 ::: 7f3abe38e618Fn  96 h1808f6b90fed7e6c 1980  - <  
:  
 : <Args      1550xalloc>             at                 at  : 
7f3abe3da913::>/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs   /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs    - boxed::: 6 :   core::call2021 : 0x171  14::Box:::  7f3abe38e618:  : option<h1808f6b90fed7e6c9   - 5 0x ::F

  std
 7f3ac10dc903 expect_failed,               at  ::   -  ::A  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs0xpanicking  crossbeam_utils h46537fbd36d4b59a>  :7f3abe38e618::13 [0x
  62021 - rust_panic_with_hook:  f26fc590427f060c7f3ac10c11ab as : :std::  ] -     9::h96ea0305fa803d66  ::rustc_data_structures core  
panicking
  thread[ ::   ::   ::38b38f5828d2238c ops   rust_panic_with_hook 0x scope] :: 0x :: 7f3abe3da913 :::: function 7f3abe38e6186h96ea0305fa803d66  -              at <jobserver ::  - : 
 core/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs<:: Fn std   :::rayon_coreacquire_thread < ::   option2021[
 Args panicking   :::eca614dfbec41091  > ::   expect_failed9]  > rust_panic_with_hook0x  ::
::15 :: ::7f3abe38e618  h46537fbd36d4b59a ThreadPoolBuilder:  call             at h96ea0305fa803d66 -   
 >  ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs
std    ::  h1808f6b90fed7e6c: ::   6build_scoped  
2021 panicking   : <              at  : ::    rustc_interface0x/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs 9 rust_panic_with_hook    [7f3ac0ce9c72: 
 ::    585b6160058037e1 - 1980   h96ea0305fa803d66              at   ]<:   
 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs 0x::rayon_core5     : 7f3abe38e618util[
 6   783  - ::eca614dfbec41091  :    : stdrun_in_thread_pool_with_globals]                  at 13 ::<::14    /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs
 panickingrustc_interfaceregistry:     :  ::[::     783  rust_panic_with_hook585b6160058037e1ThreadBuilder  0x  :  ::]>  7f3abe38e618  137 h96ea0305fa803d66::::   -   
:  
interfacerun0x std                 at  ::
7f3ac10c11ab ::             at    /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs run_compiler -   panicking/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs   : <rustc_data_structures              at ::: 7 1980 core[16/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rsrust_panic_with_hook783 : 0x: [38b38f5828d2238c: ::::  7f3abe38e36e5 b6bef3c6adff5920] 2021h96ea0305fa803d6613   - 
 ]:: :

  std  ::jobserver 9               at  ::  result:: 
  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs0xpanicking14 ::acquire_thread0x   :7f3abe38e36e:::  Result
7f3ac10e7e37  7783 - begin_panic_handler  <  -   : :std::  () <6  13::{  , 15<:   
panicking{  rustc_span: crossbeam_utils    ::closure0x [ [    begin_panic_handler}7f3ac10c11ab fb03aa4411196a5d f26fc590427f060c  0x ::} -  ] ]  7f3abe38e36e7{::rustc_data_structures             at :: ::0x  - : {h0915cbfe68ab6650[/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rsErrorGuaranteed0xthread7f3abe38e618 std closure
38b38f5828d2238c:>7f3ac0ce9c72:: -  :: } ]783,  - ScopedThreadBuilderstd panicking } :::rustc_driver_impl<>:: :: :: jobserver13[rayon_core::panicking begin_panic_handler0xh0915cbfe68ab6650 ::
1d564bc98f788831[spawn:: ::7f3abe38e36e
 acquire_thread ]eca614dfbec41091<rust_panic_with_hook             at { -   
  ::]<::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs{std    run_compiler::rayon_coreh96ea0305fa803d66:closure::  715::{registry[
783}panicking  : : closure::eca614dfbec41091 :}::    #ThreadBuilder] 13::begin_panic_handler    0>:: 
h0915cbfe68ab6650::    }::ThreadPoolBuilder  
{    >run>   {  0x0x::{
::   closure  7f3abe38e36e7f3ac0ce9c72closurebuild_scoped  7 }   -  - #<  :  }  std<0rustc_interface 16  ::  ::rayon_core}[ :   h0915cbfe68ab6650              at panicking[, 585b6160058037e1    
 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs::eca614dfbec41091core]      :begin_panic_handler][::  0x   657::::b6bef3c6adff5920util  7f3abe38e36e   :{registry]:: 0x -                at 13{::::run_in_thread_pool_with_globals 7f3ac10e7e37std  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs
closureThreadBuilderresult<  - ::  : }>::rustc_interface <panicking  657 }::Result[             at <::  : ::run<585b6160058037e1/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rscrossbeam_utilsbegin_panic_handler  138h0915cbfe68ab6650
()]:[::  
: 
, :: 783f26fc590427f060c{     rustc_spaninterface :]{             at     [::1613::closure/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs    fb03aa4411196a5drun_compiler: 
thread}: 8  ]<  ::}657 : 0x ::core  ScopedThreadBuilder:::  7f3abe38b926 ErrorGuaranteed[  >h0915cbfe68ab665013   -  >b6bef3c6adff5920 7::

  std >]0x: spawn               at  :: ::{::7f3ac10e7e37 <  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs0xsys_common closureresult -  <  :7f3abe38b926:: #::< rayon_core 8657 - backtrace 3Result< [ : :std:: }<crossbeam_utils0xeca614dfbec41091  13::__rust_end_short_backtrace ::{()[7f3abe38e36e]  
sys_common:: closure, f26fc590427f060c - ::   ::h2c4199a5eff137ca #rustc_span]stdThreadPoolBuilder   backtrace
 0[::::> 0x ::  }fb03aa4411196a5dthreadpanicking:: 7f3abe38b9268__rust_end_short_backtrace              at ::{]::::build_scoped  - : :: /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rsclosure::ScopedThreadBuilderbegin_panic_handler< std h2c4199a5eff137ca :#ErrorGuaranteed>::rustc_interface :: 
 6570>::{[ sys_common   :}, spawn{585b6160058037e1 ::   13, rustc_driver_impl<closure] backtrace0x  
rustc_interface[<}:: ::7f3abe38b926   [1d564bc98f788831rayon_core}util             at __rust_end_short_backtrace -    585b6160058037e1][::::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs::std   ]::eca614dfbec41091h0915cbfe68ab6650run_in_thread_pool_with_globals:h2c4199a5eff137ca::  8::run_compiler]
<657
sys_common  : util::{:: rustc_interface: ::   ::closureThreadPoolBuilder [13 backtrace   run_in_thread_pool_with_globals#> 585b6160058037e1
 ::   <0:: ]  __rust_end_short_backtrace   rustc_interface}build_scoped ::  ::  0x[>< interface  h2c4199a5eff137ca              at 7f3abe38b926585b6160058037e1::{rustc_interface :: 8
 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs - ]closure[ run_compiler :   :std::#585b6160058037e1 <    171::interface0] core    :sys_common::}:: [                at 18::run_compiler, util b6bef3c6adff5920   /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs
backtrace<core:: ] 0x : ::core[run_in_thread_pool_with_globals :: 7f3abe38b926 171 __rust_end_short_backtrace[b6bef3c6adff5920< result  -  : ::b6bef3c6adff5920]rustc_interface :: std 189h2c4199a5eff137ca]::[ Result :: 
: 
::result585b6160058037e1 < sys_common    result::]             at ()             at ::    ::Result::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs, /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rsbacktrace    Result<interface:rustc_span::: 9  <()::657[171__rust_end_short_backtrace : 0x (), run_compiler:fb03aa4411196a5d:::  7f3abe38e0d2 , rustc_span<13]18h2c4199a5eff137ca   -  rustc_span[core
::

  rust_begin_unwind [fb03aa4411196a5d[ ErrorGuaranteed               at  
 fb03aa4411196a5d]b6bef3c6adff5920 >  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs0x  ]::] ,   :7f3abe38e0d2  ::ErrorGuaranteed::8rustc_driver_impl9 171 -   ErrorGuaranteed>result: [:  :rust_begin_unwind  >>:: 1d564bc98f788831  18
  , ::{Result ]  
   rustc_driver_implclosure< ::      [#() run_compiler      1d564bc98f7888313, 0x::{0x     ]}rustc_span7f3abe38b926closure7f3abe38e0d2 9               at ::::{[ - # -  :   /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rsrun_compilerclosurefb03aa4411196a5dstd0rust_begin_unwind    :::{#]::}
    171closure0::sys_common>     :#}ErrorGuaranteed::::{     180::{>backtraceclosure  0x  
}closure, ::#  7f3abe38e0d2   >#rustc_driver_impl__rust_end_short_backtrace0              at  -    ::{0[::} /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rsrust_begin_unwind              at  closure}1d564bc98f788831h2c4199a5eff137ca,  :
 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs9#, ]
core 171  :: 0rustc_interface:: [ :                              at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
  10:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                               at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
  11:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                               at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
  12:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                               at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
  13:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                               at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
  14:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
  15:     0x7f3ac0ce9c72 - <rayon_core[ 645:5 
             at   /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs10::  645 : 5 
0x7f3abe3dab65 -  core ::10panicking: :: panic_fmt :: h732625e0997ea57d 
0x 7f3abe3dab65  -   core  :: panicking :: panic_fmt :: h732625e0997ea57d 
                         at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs : 72 : 14 
     11 :                  at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs :0x727f3abe3da913: - 14core
::panicking :: panic_display11::: h8c47f47080565be5 
      0x 7f3abe3da913  -  core :: panicking :: panic_display :: h8c47f47080565be5 
                       at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs : 196 : 5 
     12 :                    at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs0x:7f3abe3da913196 - :core5::
panicking :: panic_str12::: h62f8683724b70c3b 
      0x 7f3abe3da913  -  core :: panicking :: panic_str :: h62f8683724b70c3b 
                       at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs : 171 : 5 
     13 :                    at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs0x:7f3abe3da913171 - :core5::
option :: expect_failed13::: h46537fbd36d4b59a 
      0x 7f3abe3da913  -  core :: option :: expect_failed :: h46537fbd36d4b59a 
                       at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs : 1980 : 5 
     14 :                    at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs0x:7f3ac10c11ab1980 - :rustc_data_structures5[
38b38f5828d2238c] ::jobserver ::14acquire_thread: 
     15 : 0x  7f3ac10c11ab  -  rustc_data_structures0x[7f3ac0ce9c7238b38f5828d2238c - ]<::rayon_corejobserver[::eca614dfbec41091acquire_thread]
::registry ::ThreadBuilder >15::: run 
     0x167f3ac0ce9c72:  -   < rayon_core [0xeca614dfbec410917f3ac10e7e37] - ::<registry<::crossbeam_utilsThreadBuilder[>f26fc590427f060c::]run::
thread::ScopedThreadBuilder>:: spawn <16<: rayon_core [ eca614dfbec41091 ] ::0xThreadPoolBuilder7f3ac10e7e37> - ::<build_scoped<<rustc_interfacecrossbeam_utils[[585b6160058037e1f26fc590427f060c]]::::utilthread::::run_in_thread_pool_with_globalsScopedThreadBuilder<>rustc_interface::[spawn585b6160058037e1<]<::rayon_coreinterface[::eca614dfbec41091run_compiler]<::coreThreadPoolBuilder[>b6bef3c6adff5920::]build_scoped::<resultrustc_interface::[Result585b6160058037e1<]()::, utilrustc_span::[run_in_thread_pool_with_globalsfb03aa4411196a5d<]rustc_interface::[ErrorGuaranteed585b6160058037e1>], ::rustc_driver_implinterface[::1d564bc98f788831run_compiler]<::corerun_compiler[::{b6bef3c6adff5920closure]#::0result}::>Result::{<closure()#, 0rustc_span}[, fb03aa4411196a5dcore][::b6bef3c6adff5920ErrorGuaranteed]>::, resultrustc_driver_impl::[Result1d564bc98f788831<]()::, run_compilerrustc_span::{[closurefb03aa4411196a5d#]0::}ErrorGuaranteed>>::{>closure::{#closure0#}3, }core::{[closureb6bef3c6adff5920#]0::}result::{::closureResult#<0()}, , rustc_spanrustc_interface[[fb03aa4411196a5d585b6160058037e1]]::::ErrorGuaranteedutil>::>run_in_thread_pool_with_globals::{<closurerustc_interface#[3585b6160058037e1}]::::{interfaceclosure::#run_compiler0<}core::{[closureb6bef3c6adff5920#]0::}result, ::rustc_interfaceResult[<585b6160058037e1()], ::rustc_spanutil[::fb03aa4411196a5drun_in_thread_pool_with_globals]<::rustc_interfaceErrorGuaranteed[>585b6160058037e1, ]rustc_driver_impl::[interface1d564bc98f788831::]run_compiler::<run_compilercore::{[closureb6bef3c6adff5920#]0::}result>::::{Resultclosure<#()0, }rustc_span, [corefb03aa4411196a5d[]b6bef3c6adff5920::]ErrorGuaranteed::>result, ::rustc_driver_implResult[<1d564bc98f788831()], ::rustc_spanrun_compiler[::{fb03aa4411196a5dclosure]#::0ErrorGuaranteed}>>>::{::{closureclosure##03}}, ::{coreclosure[#b6bef3c6adff59200]}::::{resultclosure::#Result1<}(), , corerustc_span[[b6bef3c6adff5920fb03aa4411196a5d]]::::resultErrorGuaranteed::>Result><::{()closure, #rustc_span3[}fb03aa4411196a5d::{]closure::#ErrorGuaranteed0>}>::{::{closureclosure##10}}, ::{coreclosure[#b6bef3c6adff59200]}::::{resultclosure::#Result0<}(), , ()rustc_span>[::{fb03aa4411196a5dclosure]#::0ErrorGuaranteed}> as >core::{[closureb6bef3c6adff5920#]0::}ops::{::closurefunction#::0FnOnce}<::{()closure>#>0::}call_once, ::{()shim>:::{vtableclosure##00}}
 as  core [17b6bef3c6adff5920: ] :: ops :: function0x::7f3ac10ddceeFnOnce - <std()[>6d41dfb9cf74c95>]::::call_oncesys_common::{::shimbacktrace:::vtable__rust_begin_short_backtrace#::0<}alloc
[9a2fac5b77daa993]:: boxed ::17Box: < dyn  core [ b6bef3c6adff59200x]::7f3ac10ddceeops - ::stdfunction[::6d41dfb9cf74c95FnOnce]<::()sys_common, ::Outputbacktrace = ::()__rust_begin_short_backtrace>:: + <corealloc[[b6bef3c6adff59209a2fac5b77daa993]]::::markerboxed::::SendBox><, dyn ()core>[
b6bef3c6adff5920 ] ::18ops: :: function :: FnOnce <0x()7f3ac10e7ac3,  - Output< = <()std>[ + 6d41dfb9cf74c95core][::b6bef3c6adff5920thread]::::Buildermarker>::::Sendspawn_unchecked_><, alloc()[>9a2fac5b77daa993
]::boxed :: Box18<: dyn  core [ b6bef3c6adff5920 ]0x::7f3ac10e7ac3ops - ::<function<::stdFnOnce[<6d41dfb9cf74c95()], ::Outputthread = ::()Builder>> + ::corespawn_unchecked_[<b6bef3c6adff5920alloc][::9a2fac5b77daa993marker]::::Sendboxed>::, Box()<>dyn ::{coreclosure[#b6bef3c6adff59201]}:: as opscore::[functionb6bef3c6adff5920::]FnOnce::<ops()::, functionOutput:: = FnOnce()<>() + >core>[::b6bef3c6adff5920call_once]::{::shimmarker:::vtableSend#>0, }()
> ::{ closure19#: 1 }  as  core [0xb6bef3c6adff59207f3abe3984a5] - ::<opsalloc::::functionboxed::::FnOnceBox<<()F>,>A::>call_once ::{asshim :corevtable::#ops0::}function
::FnOnce < Args19>: > :: call_once:: he3e410b3c6d7ab8b 
0x 7f3abe3984a5  -  < alloc :: boxed :: Box  < F , A >     as             at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rscore:::2007ops:9::
function :: FnOnce20<: Args > > :: call_once0x::7f3abe3984a5he3e410b3c6d7ab8b - 
< alloc :: boxed :: Box < F , A >   as   core :: ops :: function             at ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rsFnOnce:<2007Args:>9>
::call_once ::h9192100527b5956f 
20 :          0x 7f3abe3984a5  -  < alloc :: boxed :: Box < F , A             at >/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs :as2007 :core9::
ops :: function21::: FnOnce < Args > >0x::7f3abe3984a5call_once - ::stdh9192100527b5956f::
sys :: unix :: thread :: Thread :: new :: thread_start :: h51e269f565ae77c2 
                       at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs : 2007 : 9 
      21  :                at  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs : 1080x:7f3abe3984a517 - 
std :: sys22::: unix :: thread :: Thread0x::7f3abe1843ecnew - ::start_threadthread_start
:: h51e269f565ae77c2 
                                             at  ./nptl/pthread_create.c :             at 444/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs::8108
: 17 
23  :     22  :  0x  7f3abe38e0d2 0x -  7f3abe204a4crust_begin_unwind  - 
0x__GI___clone3 7f3abe1843ec
  -   start_thread  
                                                        at   /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs              at : ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S645 ::             at 815./nptl/pthread_create.c

:  444  :24108: : 
        23  :  0x  7f3abe3dab65   -   core  ::0x panicking7f3abe204a4c :: -  panic_fmt__GI___clone3 ::
 h732625e0997ea57d  
 0x  0   -   <unknown>  
                                      at  ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S             at :/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs81:
72 : 1424
:     11 :          0x 7f3abe3da913  -  core :: panicking :: panic_display0x::0h8c47f47080565be5 - 
<unknown> 
  }    ,  core [ b6bef3c6adff5920 ] :: result :: Result < () ,              at rustc_span/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs[:fb03aa4411196a5d196]:::5ErrorGuaranteed
> > ::{12closure: # 3 } ::{ closure0x#7f3abe3da913[0 - }585b6160058037e1core::{]::closure::panicking#util::1::panic_str}run_in_thread_pool_with_globals::, <h62f8683724b70c3bcorerustc_interface
[[ b6bef3c6adff5920585b6160058037e1 ]] :::: resultinterface :::: Resultrun_compiler << () core,  [rustc_span b6bef3c6adff5920[ ]fb03aa4411196a5d ::] result:: ::ErrorGuaranteed Result> <> ()::{             at , closure/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rsrustc_span#:[0171fb03aa4411196a5d}:], 5::core
ErrorGuaranteed[ >b6bef3c6adff5920 , ]13rustc_driver_impl::: [result 1d564bc98f788831:: ]Result ::< run_compilercore0x::{[7f3abe3da913closureb6bef3c6adff5920 - #]core0::::}resultoption>::::::{Resultexpect_failedclosure<::#()h46537fbd36d4b59a0, 
}rustc_span , [ corefb03aa4411196a5d [] b6bef3c6adff5920:: ]ErrorGuaranteed ::> result,  ::rayon_core Result[ <eca614dfbec41091 ()] , :: rustc_spanThreadPoolBuildError [> fb03aa4411196a5d> ]
 ::  ErrorGuaranteed             at  >/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs16>:: ::{1980 closure: #5 3
 } 0x::{ 7f3ac30c360dclosure14 - #: rustc_driver_impl0 [} 1d564bc98f788831::{ ]closure ::#0xrun_compiler17f3ac10c11ab
} - ,  rustc_data_structurescore [[1738b38f5828d2238cb6bef3c6adff5920: ]] :::: jobserverresult :::: acquire_threadResult0x
<7f3ac336c38e () -  , rustc_driver_impl15rustc_span[: [1d564bc98f788831 fb03aa4411196a5d] ]:: ::main ErrorGuaranteed
0x> 7f3ac0ce9c72>  - ::{18<closure: rayon_core# [0 eca614dfbec41091} ]::{ ::closure0xregistry#5572d5558b57::0 - ThreadBuilder}rustc_main>::{[::closure9441e6af8aee4774run#]
0::}main , 
 () 16> : ::{19 closure:  #  0  } 0x as  7f3ac10e7e37core0x - [5572d5558b43<b6bef3c6adff5920 - <]stdcrossbeam_utils::[[ops6d41dfb9cf74c95f26fc590427f060c::]]function::::::sys_commonthreadFnOnce::::<backtraceScopedThreadBuilder()::>>__rust_begin_short_backtrace::>::spawn::<<call_oncefn(<::{)rayon_coreshim, [:()eca614dfbec41091vtable>]#
::0 ThreadPoolBuilder} >
20:::  build_scoped  < 17 rustc_interface:  [ 0x585b6160058037e1 5572d5558b39]  - :: <util0xstd::7f3ac10ddcee[run_in_thread_pool_with_globals - 6d41dfb9cf74c95<std]rustc_interface[::[6d41dfb9cf74c95rt585b6160058037e1]::]::lang_start::sys_common<interface::()::backtrace>run_compiler::::{<__rust_begin_short_backtraceclosurecore::#[<0b6bef3c6adff5920alloc}][ as ::9a2fac5b77daa993coreresult][::::b6bef3c6adff5920Resultboxed]<::::()Boxops, <::rustc_spandyn function[core::fb03aa4411196a5d[FnOnce]b6bef3c6adff5920<::]()ErrorGuaranteed::>>ops>, ::::rustc_driver_implfunctioncall_once[::::{1d564bc98f788831FnOnceshim]<:::()vtablerun_compiler, #::{Output0closure = }#()
0>  + } core>21[::{: b6bef3c6adff5920closure ]# ::0 marker} ::, 0xSendcore7f3abe36feb7>[ - , b6bef3c6adff5920core()]::>::ops
result:: ::function Result::18<impls: ():: , < rustc_spanimpl [  fb03aa4411196a5dcore0x]::7f3ac10e7ac3::ops - ErrorGuaranteed::<>function<>::std::{FnOnce[closure<6d41dfb9cf74c95#A]3>::} thread::{for::closure Builder#&>0F::}>spawn_unchecked_::{::<closurecall_oncealloc#::[0h2b84447bb94655909a2fac5b77daa993}
],  ::rustc_interface boxed[ ::585b6160058037e1 Box] <:: dyn util core:: [run_in_thread_pool_with_globals b6bef3c6adff5920< ]rustc_interface ::[ ops585b6160058037e1 ::] function:: ::interface FnOnce:: <run_compiler ()<             at , core/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/ops/function.rsOutput[: = b6bef3c6adff5920284()]:>::13 + result
core:: [Result b6bef3c6adff5920<22](): ::,  markerrustc_spanrun_compiler ::[::{ Sendfb03aa4411196a5dclosure >]#0x, ::07f3abe36feb7()ErrorGuaranteed} - >>>std::{, ::{::closurerustc_driver_implclosurepanicking#[#::11d564bc98f7888310try}]}:: as ::, do_callcorerun_compilercore::[::{[hc221e2110fd11575b6bef3c6adff5920closureb6bef3c6adff5920
]#] ::0:: ops}result ::>:: function::{Result ::closure< FnOnce#() <0,  ()}rustc_span >, [ >corefb03aa4411196a5d ::[] call_onceb6bef3c6adff5920:: ::{]ErrorGuaranteed shim::> :result> vtable::::{ #Resultclosure 0<#             at }()3/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs
, }: rustc_span::{552 [ closure:19fb03aa4411196a5d#40:  ]0b6bef3c6adff5920
  ::}]   ErrorGuaranteed::{::   >closure 23result >#0x: :: ::{7f3abe3984a50 Result closure - } <# <,  ()3 allocrustc_interface }, [:: 0x::{rustc_span585b6160058037e1boxed 7f3abe36feb7closure[]::  - #fb03aa4411196a5d::Box std0]util< ::}::::F panicking::{ErrorGuaranteedrun_in_thread_pool_with_globals, ::closure><A             at try #>rustc_interface>/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:: 1::{[ :h58268e0bb93918e5 }closure585b6160058037e1as171
 , #] :  core3::core18  [}interface::
  b6bef3c6adff5920::{::18ops   ]closurerun_compiler::
   ::#<function                at result0core::  9/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs::}[FnOnce  : :Result::{b6bef3c6adff5920<9  645<closure]Args:   :()#::>   5, 0result>   
rustc_span}::::  0x [, Resultcall_once  7f3abe38e0d2 fb03aa4411196a5drustc_interface<::0x  - 10][()he3e410b3c6d7ab8b7f3abe38e0d2 rust_begin_unwind: ::585b6160058037e1, 
 -  
 ErrorGuaranteed]rustc_span rust_begin_unwind   >::[ 
             at   >utilfb03aa4411196a5d  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs  ::{::]  : 0xclosurerun_in_thread_pool_with_globals::  516 7f3abe3dab65#<ErrorGuaranteed  :  - 0rustc_interface>  19 core}[,   
 ::::{585b6160058037e1rustc_driver_impl    panickingclosure][    ::#::1d564bc98f788831  24 panic_fmt0interface]  :  ::}::::    h732625e0997ea57d::{run_compilerrun_compiler    
closure<::{     #coreclosure     0[#  0x  }b6bef3c6adff59200  7f3abe36feb7  , ]}             at   -              at  ()::>/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs std/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs >result::{:             at ::: ::{::closure2007/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rspanic645 closureResult#::::: #<09645catch_unwind5 0()}
:::
 }, ,  5hf3f2a57d4e2a47a3   as rustc_spancore 

  core[[20   10[fb03aa4411196a5db6bef3c6adff5920:    : b6bef3c6adff5920]]  10  ]::::  :   ::ErrorGuaranteedresult     ops>::                at  ::, Result0x  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs0xfunctionrustc_driver_impl<7f3abe3984a5  :7f3abe3dab65::[() -  0x72 - FnOnce1d564bc98f788831, < 7f3abe3dab65:core<]rustc_spanalloc  - 14::()::[:: core
panicking>run_compilerfb03aa4411196a5dboxed :: ::>::{]:: panicking panic_fmt::closure::Box ::11::call_once#ErrorGuaranteed< panic_fmt: h732625e0997ea57d::{0>F :: 
shim}>, h732625e0997ea57d  :>::{A             at 
  vtable::{closure>/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panic.rs   #closure# : 0x 0#3as142 7f3abe3da913 }0} :  -  
}::{core14 core ,  closure::
 :: core #ops  panicking [170::  :: b6bef3c6adff5920: }function25 panic_display ] ::{:::  :: :: closureFnOnce  h8c47f47080565be5 result #<  
 :: 1Args    Result0x}>    <7f3ac10ddcee, >0x   () - core::7f3abe36feb7   , std[call_once -                at rustc_span[b6bef3c6adff5920::std  /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs[6d41dfb9cf74c95]h9192100527b5956f::             at  :fb03aa4411196a5d]::
rt/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs 72]::result ::: :::sys_common:: lang_start_internal72 14ErrorGuaranteed::Result ::: 
>backtrace< {14  >::() {
  ::{__rust_begin_short_backtrace,  closure  11closure::rustc_span }  : #<[ }11  3allocfb03aa4411196a5d :::   }[] h934532f8ed3c3551   ::{9a2fac5b77daa993:: 
              at  closure]ErrorGuaranteed   /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs0x#::>   :7f3abe3da9130boxed>  0x196 - }::::{  7f3abe3da913:core::{Boxclosure   - 5::closure<#  core
panicking#dyn 0  :: ::1core}             at  panicking panic_display}[::{/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs ::12::, b6bef3c6adff5920closure: panic_display: h8c47f47080565be5core]#2007 :: 
[::0: h8c47f47080565be5  b6bef3c6adff5920ops}9 
  ]::::{
    ::functionclosure   0x result::#   7f3abe3da913 FnOnce::021   -  <Result}:   core ()<,               at  :: , ()() /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/rt.rs panicking Output, > : ::  = rustc_span::{ 148 panic_str ()[closure0x: :: >fb03aa4411196a5d#7f3abe3984a548 h62f8683724b70c3b  + ]0 - 
 
 core::}std    [ErrorGuaranteed as ::    b6bef3c6adff5920>coresys26   ]>[:::    ::::{b6bef3c6adff5920unix                at markerclosure]::   /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs::#::thread              at  :Send0ops:: /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs 196>}::Thread0x: :, ::{function::7f3abe36feb7196 5()closure::new - : 
>#FnOnce::std5  
0<thread_start::
   }()::panicking  12 ::{>h51e269f565ae77c2::  : 18closure>
try12  : #:: :::    0call_once do_call    }::{ ::              at   , shim h8732830b74bf7190 /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs0x (): 
 :7f3abe3da9130x>vtable  0x171 - 7f3ac10e7ac3::{#  

rustc version: 7f3abe3da913:core - closure0  1.76.0-nightly (e9013ac0e 2023-12-05) - 5::<#}  
platform: core
panicking<0
  x86_64-unknown-linux-gnu::eca614dfbec41091 ::std[}   panicking] panic_str6d41dfb9cf74c95 as   ::13::]core                         at ::/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs: 552registry ::: 40ThreadBuilder 
>  ::  run             at 27
/rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs: : 108 :  17  
160x : 7f3abe36feb7   - 22 std:  ::  panicking 0x ::7f3ac10e7e37 try - 0x::<7f3abe1843echa84fa66314880b3917< - 
: crossbeam_utilsstart_thread  [
  f26fc590427f060c   ]   ::  0xthread  7f3ac10ddcee::   - ScopedThreadBuilder  std>  [:: 

Full compiler output:

error: failed to run `rustc` to learn about target-specific information

Caused by:
  process didn't exit successfully: `/home/zhichen/.rustup/toolchains/nightly-x86_64-unknown-linux-gnu/bin/rustc - --crate-name ___ --print=file-names -Z threads=10 --crate-type bin --crate-type rlib --crate-type dylib --crate-type cdylib --crate-type staticlib --crate-type proc-macro --print=sysroot --print=split-debuginfo --print=crate-name --print=cfg` (signal: 6, SIGABRT: process abort signal)
  --- stderr
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  stack backtrace:
  thread 'main' panicked at compiler/rustc_data_structures/src/jobserver.rs:77:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'thread 'rustcrustc' panicked at ' panicked at compiler/rustc_data_structures/src/jobserver.rscompiler/rustc_data_structures/src/jobserver.rs::7373::3333:
  :
  jobserver check should have been called earlierjobserver check should have been called earlier

  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11fc - rustc_data_structures[38b38f5828d2238c]::jobserver::release_thread
    22:     0x7f3ac10dc903 - crossbeam_utils[f26fc590427f060c]::thread::scope::<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}, core[b6bef3c6adff5920]::result::Result<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rayon_core[eca614dfbec41091]::ThreadPoolBuildError>>
    23:     0x7f3ac30c360d - rustc_driver_impl[1d564bc98f788831]::run_compiler
    24:     0x7f3ac336c38e - rustc_driver_impl[1d564bc98f788831]::main
    25:     0x5572d5558b57 - rustc_main[9441e6af8aee4774]::main
    26:     0x5572d5558b43 - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<fn(), ()>
    27:     0x5572d5558b39 - <std[6d41dfb9cf74c95]::rt::lang_start<()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    28:     0x7f3abe36feb7 - core::ops::function::impls::<impl core::ops::function::FnOnce<A> for &F>::call_once::h2b84447bb9465590
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/ops/function.rs:284:13
    29:     0x7f3abe36feb7 - std::panicking::try::do_call::hc221e2110fd11575
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:552:40
    30:     0x7f3abe36feb7 - std::panicking::try::h58268e0bb93918e5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:516:19
    31:     0x7f3abe36feb7 - std::panic::catch_unwind::hf3f2a57d4e2a47a3
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panic.rs:142:14
    32:     0x7f3abe36feb7 - std::rt::lang_start_internal::{{closure}}::h934532f8ed3c3551
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/rt.rs:148:48
    33:     0x7f3abe36feb7 - std::panicking::try::do_call::h8732830b74bf7190
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:552:40
    34:     0x7f3abe36feb7 - std::panicking::try::ha84fa66314880b39
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:516:19
    35:     0x7f3abe36feb7 - std::panic::catch_unwind::h756a2747ef2d2642
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panic.rs:142:14
    36:     0x7f3abe36feb7 - std::rt::lang_start_internal::h7558f41a64e640f8
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/rt.rs:148:20
    37:     0x5572d5558ba0 - std[6d41dfb9cf74c95]::rt::lang_start::<()>
    38:     0x7f3abe1236ca - __libc_start_call_main
                                 at ./csu/../sysdeps/nptl/libc_start_call_main.h:58:16
    39:     0x7f3abe123785 - __libc_start_main_impl
                                 at ./csu/../csu/libc-start.c:360:3
    40:     0x5572d5558bcb - <unknown>
    41:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f3abe38b45c - std::backtrace_rs::backtrace::libunwind::trace::hfb89046adb39a1f6
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f3abe38b45c - std::backtrace_rs::backtrace::trace_unsynchronized::heafdee06d2e3676e
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f3abe38b45c - std::sys_common::backtrace::_print_fmt::hd063d24583951e82
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f3abe38b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::ha85eb7994242febe
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f3abe3de4a0 - core::fmt::rt::Argument::fmt::hc013484dc16e4f5b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/rt.rs:142:9
     5:     0x7f3abe3de4a0 - core::fmt::write::hbe6853c73ba7d507
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f3abe37f2bf - std::io::Write::write_fmt::h139213a6e1eb7490
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/io/mod.rs:1810:15
     7:     0x7f3abe38b244 - std::sys_common::backtrace::_print::h7645bb5ae21509d4
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f3abe38b244 - std::sys_common::backtrace::print::hc063745818484072
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f3abe38ded7 - std::panicking::default_hook::{{closure}}::hadaecbf03d4171ea
    10:     0x7f3abe38dc3f - std::panicking::default_hook::h8578cb7cc35ec429
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:292:9
    11:     0x7f3ac10e5e70 - std[6d41dfb9cf74c95]::panicking::update_hook::<alloc[9a2fac5b77daa993]::boxed::Box<rustc_driver_impl[1d564bc98f788831]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f3abe38e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h1808f6b90fed7e6c
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2021:9
    13:     0x7f3abe38e618 - std::panicking::rust_panic_with_hook::h96ea0305fa803d66
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:783:13
    14:     0x7f3abe38e36e - std::panicking::begin_panic_handler::{{closure}}::h0915cbfe68ab6650
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:657:13
    15:     0x7f3abe38b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h2c4199a5eff137ca
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f3abe38e0d2 - rust_begin_unwind
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/panicking.rs:645:5
    17:     0x7f3abe3dab65 - core::panicking::panic_fmt::h732625e0997ea57d
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:72:14
    18:     0x7f3abe3da913 - core::panicking::panic_display::h8c47f47080565be5
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:196:5
    19:     0x7f3abe3da913 - core::panicking::panic_str::h62f8683724b70c3b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/panicking.rs:171:5
    20:     0x7f3abe3da913 - core::option::expect_failed::h46537fbd36d4b59a
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/core/src/option.rs:1980:5
    21:     0x7f3ac10c11ab - rustc_data_structures[38b38f5828d2238c]::jobserver::acquire_thread
    22:     0x7f3ac0ce9c72 - <rayon_core[eca614dfbec41091]::registry::ThreadBuilder>::run
    23:     0x7f3ac10e7e37 - <<crossbeam_utils[f26fc590427f060c]::thread::ScopedThreadBuilder>::spawn<<rayon_core[eca614dfbec41091]::ThreadPoolBuilder>::build_scoped<rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[585b6160058037e1]::util::run_in_thread_pool_with_globals<rustc_interface[585b6160058037e1]::interface::run_compiler<core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>, rustc_driver_impl[1d564bc98f788831]::run_compiler::{closure#0}>::{closure#0}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[b6bef3c6adff5920]::result::Result<(), rustc_span[fb03aa4411196a5d]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f3ac10ddcee - std[6d41dfb9cf74c95]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>
    25:     0x7f3ac10e7ac3 - <<std[6d41dfb9cf74c95]::thread::Builder>::spawn_unchecked_<alloc[9a2fac5b77daa993]::boxed::Box<dyn core[b6bef3c6adff5920]::ops::function::FnOnce<(), Output = ()> + core[b6bef3c6adff5920]::marker::Send>, ()>::{closure#1} as core[b6bef3c6adff5920]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::he3e410b3c6d7ab8b
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    27:     0x7f3abe3984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h9192100527b5956f
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/alloc/src/boxed.rs:2007:9
    28:     0x7f3abe3984a5 - std::sys::unix::thread::Thread::new::thread_start::h51e269f565ae77c2
                                 at /rustc/e9013ac0e402ef449c5d00251d687289599137df/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f3abe1843ec - start_thread
                                 at ./nptl/pthread_create.c:444:8
    30:     0x7f3abe204a4c - __GI___clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  error: the compiler unexpectedly panicked. this is a bug.

  note: we would appreciate a bug report: https://github.com/rust-lang/rust/issues/new?labels=C-bug%2C+I-ICE%2C+T-compiler&template=ice.md

  note: please attach the file at `/home/zhichen/work/ice/rustc-ice-2023-12-06T08_22_30-1295200.txt` to your bug report

  note: compiler flags: -Z threads=10 --crate-type bin --crate-type rlib --crate-type dylib --crate-type cdylib --crate-type staticlib --crate-type proc-macro

  query stack during panic:
  end of query stack
  Rayon: detected unexpected panic; aborting

@StackOverflowExcept1on
Copy link
Contributor

I have same error

Caused by:
  process didn't exit successfully: /home/.../.rustup/toolchains/nightly-2023-12-07-x86_64-unknown-linux-gnu/bin/rustc - --crate-name ___ --print=file-names -Z threads=6 --crate-type bin --crate-type rlib --crate-type dylib --crate-type cdylib --crate-type staticlib --crate-type proc-macro --print=sysroot --print=split-debuginfo --print=crate-name --print=cfg (signal: 6, SIGABRT: process abort signal)
  --- stderr
  thread 'main' panicked at compiler/rustc_data_structures/src/jobserver.rs:77:33:
  jobserver check should have been called earlier
  stack backtrace:
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
  thread 'rustc' panicked at compiler/rustc_data_structures/src/jobserver.rs:73:33:
  jobserver check should have been called earlier
     0:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::libunwind::trace::h01adf09c1aaf2eab
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::trace_unsynchronized::hb3620698cc0798b4
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f9d6ff8b45c - std::sys_common::backtrace::_print_fmt::h56e5d560c8c09485
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f9d6ff8b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::hca9d80fb6e5ad5fe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f9d6ffde4a0 - core::fmt::rt::Argument::fmt::he80c0e0f0c31e8da
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/rt.rs:142:9
     5:     0x7f9d6ffde4a0 - core::fmt::write::h7acb2cb5522bc491
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f9d6ff7f2bf - std::io::Write::write_fmt::h19435141596ff66c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/io/mod.rs:1810:15
     7:     0x7f9d6ff8b244 - std::sys_common::backtrace::_print::h062a00a21ffc3dcb
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f9d6ff8b244 - std::sys_common::backtrace::print::h120ea2df27b2be8f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f9d6ff8ded7 - std::panicking::default_hook::{{closure}}::hd7e3d5fa26e2ca93
    10:     0x7f9d6ff8dc3f - std::panicking::default_hook::h541732093fb638b5
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:292:9
    11:     0x7f9d72cf4190 - std[f18d9e43faf8528d]::panicking::update_hook::<alloc[c27557bba15c8b11]::boxed::Box<rustc_driver_impl[c56fb6e317b9b549]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f9d6ff8e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h04510f5933ee8fe9
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2029:9
    13:     0x7f9d6ff8e618 - std::panicking::rust_panic_with_hook::h10b8d62d65c23db7
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:783:13
    14:     0x7f9d6ff8e36e - std::panicking::begin_panic_handler::{{closure}}::h2bc5b33298108b9d
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:657:13
    15:     0x7f9d6ff8b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h4d52701c18fca37f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f9d6ff8e0d2 - rust_begin_unwind
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:645:5
    17:     0x7f9d6ffdab65 - core::panicking::panic_fmt::hc7d083b823bc25c6
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:72:14
    18:     0x7f9d6ffda913 - core::panicking::panic_display::he67f8f212ca6850c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:196:5
    19:     0x7f9d6ffda913 - core::panicking::panic_str::h80d96e2361b552dc
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:171:5
    20:     0x7f9d6ffda913 - core::option::expect_failed::hc53d5bf30a61945b
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/option.rs:1980:5
    21:     0x7f9d72ccf61c - rustc_data_structures[e65f6cb355c9ed62]::jobserver::release_thread
    22:     0x7f9d72cdebb3 - crossbeam_utils[3cd6860fb57732e3]::thread::scope::<<rayon_core[d8d19e7dd9b22867]::ThreadPoolBuilder>::build_scoped<rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rayon_core[d8d19e7dd9b22867]::ThreadPoolBuildError>>
    23:     0x7f9d74ccbd4c - rustc_driver_impl[c56fb6e317b9b549]::run_compiler
    24:     0x7f9d74f7488e - rustc_driver_impl[c56fb6e317b9b549]::main
    25:     0x56184e4b3b57 - rustc_main[3a3d731f022bdbc6]::main
    26:     0x56184e4b3b43 - std[f18d9e43faf8528d]::sys_common::backtrace::__rust_begin_short_backtrace::<fn(), ()>
    27:     0x56184e4b3b39 - <std[f18d9e43faf8528d]::rt::lang_start<()>::{closure#0} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    28:     0x7f9d6ff6feb7 - core::ops::function::impls::<impl core::ops::function::FnOnce<A> for &F>::call_once::h3ec977563bc4c38f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/ops/function.rs:284:13
    29:     0x7f9d6ff6feb7 - std::panicking::try::do_call::hd3c257abddfa1d33
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:552:40
    30:     0x7f9d6ff6feb7 - std::panicking::try::h6cbefef9e410bed4
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:516:19
    31:     0x7f9d6ff6feb7 - std::panic::catch_unwind::h1f3aa50f1e92ff71
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panic.rs:142:14
    32:     0x7f9d6ff6feb7 - std::rt::lang_start_internal::{{closure}}::he8f2d401f1f209b4
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/rt.rs:148:48
    33:     0x7f9d6ff6feb7 - std::panicking::try::do_call::h0d4ff9704bc80b66
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:552:40
    34:     0x7f9d6ff6feb7 - std::panicking::try::h795ceeebf8fb8739
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:516:19
    35:     0x7f9d6ff6feb7 - std::panic::catch_unwind::h27ec2f07eed65cfd
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panic.rs:142:14
    36:     0x7f9d6ff6feb7 - std::rt::lang_start_internal::h297e5b5bcab3d36e
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/rt.rs:148:20
    37:     0x56184e4b3ba0 - std[f18d9e43faf8528d]::rt::lang_start::<()>
    38:     0x7f9d6fc29d90 - __libc_start_call_main
                                 at ./csu/../sysdeps/nptl/libc_start_call_main.h:58:16
    39:     0x7f9d6fc29e40 - __libc_start_main_impl
                                 at ./csu/../csu/libc-start.c:392:3
    40:     0x56184e4b3bcb - <unknown>
    41:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::libunwind::trace::h01adf09c1aaf2eab
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::trace_unsynchronized::hb3620698cc0798b4
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f9d6ff8b45c - std::sys_common::backtrace::_print_fmt::h56e5d560c8c09485
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f9d6ff8b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::hca9d80fb6e5ad5fe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f9d6ffde4a0 - core::fmt::rt::Argument::fmt::he80c0e0f0c31e8da
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/rt.rs:142:9
     5:     0x7f9d6ffde4a0 - core::fmt::write::h7acb2cb5522bc491
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f9d6ff7f2bf - std::io::Write::write_fmt::h19435141596ff66c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/io/mod.rs:1810:15
     7:     0x7f9d6ff8b244 - std::sys_common::backtrace::_print::h062a00a21ffc3dcb
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f9d6ff8b244 - std::sys_common::backtrace::print::h120ea2df27b2be8f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f9d6ff8ded7 - std::panicking::default_hook::{{closure}}::hd7e3d5fa26e2ca93
    10:     0x7f9d6ff8dc3f - std::panicking::default_hook::h541732093fb638b5
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:292:9
    11:     0x7f9d72cf4190 - std[f18d9e43faf8528d]::panicking::update_hook::<alloc[c27557bba15c8b11]::boxed::Box<rustc_driver_impl[c56fb6e317b9b549]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f9d6ff8e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h04510f5933ee8fe9
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2029:9
    13:     0x7f9d6ff8e618 - std::panicking::rust_panic_with_hook::h10b8d62d65c23db7
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:783:13
    14:     0x7f9d6ff8e36e - std::panicking::begin_panic_handler::{{closure}}::h2bc5b33298108b9d
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:657:13
    15:     0x7f9d6ff8b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h4d52701c18fca37f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f9d6ff8e0d2 - rust_begin_unwind
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:645:5
    17:     0x7f9d6ffdab65 - core::panicking::panic_fmt::hc7d083b823bc25c6
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:72:14
    18:     0x7f9d6ffda913 - core::panicking::panic_display::he67f8f212ca6850c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:196:5
    19:     0x7f9d6ffda913 - core::panicking::panic_str::h80d96e2361b552dc
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:171:5
    20:     0x7f9d6ffda913 - core::option::expect_failed::hc53d5bf30a61945b
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/option.rs:1980:5
    21:     0x7f9d72ccf5cb - rustc_data_structures[e65f6cb355c9ed62]::jobserver::acquire_thread
    22:     0x7f9d728f62d2 - <rayon_core[d8d19e7dd9b22867]::registry::ThreadBuilder>::run
    23:     0x7f9d72cf6077 - <<crossbeam_utils[3cd6860fb57732e3]::thread::ScopedThreadBuilder>::spawn<<rayon_core[d8d19e7dd9b22867]::ThreadPoolBuilder>::build_scoped<rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f9d72cef4fe - std[f18d9e43faf8528d]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>
    25:     0x7f9d72cf5d03 - <<std[f18d9e43faf8528d]::thread::Builder>::spawn_unchecked_<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>::{closure#1} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::hcbb69bf7124e2abe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    27:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h7be566a46ffc8b21
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    28:     0x7f9d6ff984a5 - std::sys::unix::thread::Thread::new::thread_start::hd7af3ffb69b86edf
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f9d6fc94ac3 - start_thread
                                 at ./nptl/pthread_create.c:442:8
    30:     0x7f9d6fd26a40 - clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::libunwind::trace::h01adf09c1aaf2eab
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::trace_unsynchronized::hb3620698cc0798b4
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f9d6ff8b45c - std::sys_common::backtrace::_print_fmt::h56e5d560c8c09485
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f9d6ff8b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::hca9d80fb6e5ad5fe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f9d6ffde4a0 - core::fmt::rt::Argument::fmt::he80c0e0f0c31e8da
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/rt.rs:142:9
     5:     0x7f9d6ffde4a0 - core::fmt::write::h7acb2cb5522bc491
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f9d6ff7f2bf - std::io::Write::write_fmt::h19435141596ff66c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/io/mod.rs:1810:15
     7:     0x7f9d6ff8b244 - std::sys_common::backtrace::_print::h062a00a21ffc3dcb
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f9d6ff8b244 - std::sys_common::backtrace::print::h120ea2df27b2be8f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f9d6ff8ded7 - std::panicking::default_hook::{{closure}}::hd7e3d5fa26e2ca93
    10:     0x7f9d6ff8dc3f - std::panicking::default_hook::h541732093fb638b5
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:292:9
    11:     0x7f9d72cf4190 - std[f18d9e43faf8528d]::panicking::update_hook::<alloc[c27557bba15c8b11]::boxed::Box<rustc_driver_impl[c56fb6e317b9b549]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f9d6ff8e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h04510f5933ee8fe9
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2029:9
    13:     0x7f9d6ff8e618 - std::panicking::rust_panic_with_hook::h10b8d62d65c23db7
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:783:13
    14:     0x7f9d6ff8e36e - std::panicking::begin_panic_handler::{{closure}}::h2bc5b33298108b9d
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:657:13
    15:     0x7f9d6ff8b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h4d52701c18fca37f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f9d6ff8e0d2 - rust_begin_unwind
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:645:5
    17:     0x7f9d6ffdab65 - core::panicking::panic_fmt::hc7d083b823bc25c6
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:72:14
    18:     0x7f9d6ffda913 - core::panicking::panic_display::he67f8f212ca6850c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:196:5
    19:     0x7f9d6ffda913 - core::panicking::panic_str::h80d96e2361b552dc
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:171:5
    20:     0x7f9d6ffda913 - core::option::expect_failed::hc53d5bf30a61945b
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/option.rs:1980:5
    21:     0x7f9d72ccf5cb - rustc_data_structures[e65f6cb355c9ed62]::jobserver::acquire_thread
    22:     0x7f9d728f62d2 - <rayon_core[d8d19e7dd9b22867]::registry::ThreadBuilder>::run
    23:     0x7f9d72cf6077 - <<crossbeam_utils[3cd6860fb57732e3]::thread::ScopedThreadBuilder>::spawn<<rayon_core[d8d19e7dd9b22867]::ThreadPoolBuilder>::build_scoped<rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f9d72cef4fe - std[f18d9e43faf8528d]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>
    25:     0x7f9d72cf5d03 - <<std[f18d9e43faf8528d]::thread::Builder>::spawn_unchecked_<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>::{closure#1} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::hcbb69bf7124e2abe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    27:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h7be566a46ffc8b21
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    28:     0x7f9d6ff984a5 - std::sys::unix::thread::Thread::new::thread_start::hd7af3ffb69b86edf
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f9d6fc94ac3 - start_thread
                                 at ./nptl/pthread_create.c:442:8
    30:     0x7f9d6fd26a40 - clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::libunwind::trace::h01adf09c1aaf2eab
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::trace_unsynchronized::hb3620698cc0798b4
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f9d6ff8b45c - std::sys_common::backtrace::_print_fmt::h56e5d560c8c09485
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f9d6ff8b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::hca9d80fb6e5ad5fe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f9d6ffde4a0 - core::fmt::rt::Argument::fmt::he80c0e0f0c31e8da
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/rt.rs:142:9
     5:     0x7f9d6ffde4a0 - core::fmt::write::h7acb2cb5522bc491
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f9d6ff7f2bf - std::io::Write::write_fmt::h19435141596ff66c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/io/mod.rs:1810:15
     7:     0x7f9d6ff8b244 - std::sys_common::backtrace::_print::h062a00a21ffc3dcb
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f9d6ff8b244 - std::sys_common::backtrace::print::h120ea2df27b2be8f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f9d6ff8ded7 - std::panicking::default_hook::{{closure}}::hd7e3d5fa26e2ca93
    10:     0x7f9d6ff8dc3f - std::panicking::default_hook::h541732093fb638b5
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:292:9
    11:     0x7f9d72cf4190 - std[f18d9e43faf8528d]::panicking::update_hook::<alloc[c27557bba15c8b11]::boxed::Box<rustc_driver_impl[c56fb6e317b9b549]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f9d6ff8e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h04510f5933ee8fe9
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2029:9
    13:     0x7f9d6ff8e618 - std::panicking::rust_panic_with_hook::h10b8d62d65c23db7
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:783:13
    14:     0x7f9d6ff8e36e - std::panicking::begin_panic_handler::{{closure}}::h2bc5b33298108b9d
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:657:13
    15:     0x7f9d6ff8b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h4d52701c18fca37f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f9d6ff8e0d2 - rust_begin_unwind
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:645:5
    17:     0x7f9d6ffdab65 - core::panicking::panic_fmt::hc7d083b823bc25c6
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:72:14
    18:     0x7f9d6ffda913 - core::panicking::panic_display::he67f8f212ca6850c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:196:5
    19:     0x7f9d6ffda913 - core::panicking::panic_str::h80d96e2361b552dc
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:171:5
    20:     0x7f9d6ffda913 - core::option::expect_failed::hc53d5bf30a61945b
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/option.rs:1980:5
    21:     0x7f9d72ccf5cb - rustc_data_structures[e65f6cb355c9ed62]::jobserver::acquire_thread
    22:     0x7f9d728f62d2 - <rayon_core[d8d19e7dd9b22867]::registry::ThreadBuilder>::run
    23:     0x7f9d72cf6077 - <<crossbeam_utils[3cd6860fb57732e3]::thread::ScopedThreadBuilder>::spawn<<rayon_core[d8d19e7dd9b22867]::ThreadPoolBuilder>::build_scoped<rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f9d72cef4fe - std[f18d9e43faf8528d]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>
    25:     0x7f9d72cf5d03 - <<std[f18d9e43faf8528d]::thread::Builder>::spawn_unchecked_<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>::{closure#1} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::hcbb69bf7124e2abe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    27:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h7be566a46ffc8b21
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    28:     0x7f9d6ff984a5 - std::sys::unix::thread::Thread::new::thread_start::hd7af3ffb69b86edf
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f9d6fc94ac3 - start_thread
                                 at ./nptl/pthread_create.c:442:8
    30:     0x7f9d6fd26a40 - clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::libunwind::trace::h01adf09c1aaf2eab
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::trace_unsynchronized::hb3620698cc0798b4
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f9d6ff8b45c - std::sys_common::backtrace::_print_fmt::h56e5d560c8c09485
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f9d6ff8b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::hca9d80fb6e5ad5fe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f9d6ffde4a0 - core::fmt::rt::Argument::fmt::he80c0e0f0c31e8da
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/rt.rs:142:9
     5:     0x7f9d6ffde4a0 - core::fmt::write::h7acb2cb5522bc491
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f9d6ff7f2bf - std::io::Write::write_fmt::h19435141596ff66c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/io/mod.rs:1810:15
     7:     0x7f9d6ff8b244 - std::sys_common::backtrace::_print::h062a00a21ffc3dcb
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f9d6ff8b244 - std::sys_common::backtrace::print::h120ea2df27b2be8f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f9d6ff8ded7 - std::panicking::default_hook::{{closure}}::hd7e3d5fa26e2ca93
    10:     0x7f9d6ff8dc3f - std::panicking::default_hook::h541732093fb638b5
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:292:9
    11:     0x7f9d72cf4190 - std[f18d9e43faf8528d]::panicking::update_hook::<alloc[c27557bba15c8b11]::boxed::Box<rustc_driver_impl[c56fb6e317b9b549]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f9d6ff8e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h04510f5933ee8fe9
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2029:9
    13:     0x7f9d6ff8e618 - std::panicking::rust_panic_with_hook::h10b8d62d65c23db7
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:783:13
    14:     0x7f9d6ff8e36e - std::panicking::begin_panic_handler::{{closure}}::h2bc5b33298108b9d
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:657:13
    15:     0x7f9d6ff8b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h4d52701c18fca37f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f9d6ff8e0d2 - rust_begin_unwind
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:645:5
    17:     0x7f9d6ffdab65 - core::panicking::panic_fmt::hc7d083b823bc25c6
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:72:14
    18:     0x7f9d6ffda913 - core::panicking::panic_display::he67f8f212ca6850c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:196:5
    19:     0x7f9d6ffda913 - core::panicking::panic_str::h80d96e2361b552dc
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:171:5
    20:     0x7f9d6ffda913 - core::option::expect_failed::hc53d5bf30a61945b
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/option.rs:1980:5
    21:     0x7f9d72ccf5cb - rustc_data_structures[e65f6cb355c9ed62]::jobserver::acquire_thread
    22:     0x7f9d728f62d2 - <rayon_core[d8d19e7dd9b22867]::registry::ThreadBuilder>::run
    23:     0x7f9d72cf6077 - <<crossbeam_utils[3cd6860fb57732e3]::thread::ScopedThreadBuilder>::spawn<<rayon_core[d8d19e7dd9b22867]::ThreadPoolBuilder>::build_scoped<rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f9d72cef4fe - std[f18d9e43faf8528d]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>
    25:     0x7f9d72cf5d03 - <<std[f18d9e43faf8528d]::thread::Builder>::spawn_unchecked_<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>::{closure#1} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::hcbb69bf7124e2abe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    27:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h7be566a46ffc8b21
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    28:     0x7f9d6ff984a5 - std::sys::unix::thread::Thread::new::thread_start::hd7af3ffb69b86edf
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f9d6fc94ac3 - start_thread
                                 at ./nptl/pthread_create.c:442:8
    30:     0x7f9d6fd26a40 - clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::libunwind::trace::h01adf09c1aaf2eab
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::trace_unsynchronized::hb3620698cc0798b4
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f9d6ff8b45c - std::sys_common::backtrace::_print_fmt::h56e5d560c8c09485
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f9d6ff8b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::hca9d80fb6e5ad5fe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f9d6ffde4a0 - core::fmt::rt::Argument::fmt::he80c0e0f0c31e8da
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/rt.rs:142:9
     5:     0x7f9d6ffde4a0 - core::fmt::write::h7acb2cb5522bc491
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f9d6ff7f2bf - std::io::Write::write_fmt::h19435141596ff66c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/io/mod.rs:1810:15
     7:     0x7f9d6ff8b244 - std::sys_common::backtrace::_print::h062a00a21ffc3dcb
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f9d6ff8b244 - std::sys_common::backtrace::print::h120ea2df27b2be8f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f9d6ff8ded7 - std::panicking::default_hook::{{closure}}::hd7e3d5fa26e2ca93
    10:     0x7f9d6ff8dc3f - std::panicking::default_hook::h541732093fb638b5
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:292:9
    11:     0x7f9d72cf4190 - std[f18d9e43faf8528d]::panicking::update_hook::<alloc[c27557bba15c8b11]::boxed::Box<rustc_driver_impl[c56fb6e317b9b549]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f9d6ff8e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h04510f5933ee8fe9
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2029:9
    13:     0x7f9d6ff8e618 - std::panicking::rust_panic_with_hook::h10b8d62d65c23db7
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:783:13
    14:     0x7f9d6ff8e36e - std::panicking::begin_panic_handler::{{closure}}::h2bc5b33298108b9d
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:657:13
    15:     0x7f9d6ff8b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h4d52701c18fca37f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f9d6ff8e0d2 - rust_begin_unwind
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:645:5
    17:     0x7f9d6ffdab65 - core::panicking::panic_fmt::hc7d083b823bc25c6
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:72:14
    18:     0x7f9d6ffda913 - core::panicking::panic_display::he67f8f212ca6850c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:196:5
    19:     0x7f9d6ffda913 - core::panicking::panic_str::h80d96e2361b552dc
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:171:5
    20:     0x7f9d6ffda913 - core::option::expect_failed::hc53d5bf30a61945b
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/option.rs:1980:5
    21:     0x7f9d72ccf5cb - rustc_data_structures[e65f6cb355c9ed62]::jobserver::acquire_thread
    22:     0x7f9d728f62d2 - <rayon_core[d8d19e7dd9b22867]::registry::ThreadBuilder>::run
    23:     0x7f9d72cf6077 - <<crossbeam_utils[3cd6860fb57732e3]::thread::ScopedThreadBuilder>::spawn<<rayon_core[d8d19e7dd9b22867]::ThreadPoolBuilder>::build_scoped<rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f9d72cef4fe - std[f18d9e43faf8528d]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>
    25:     0x7f9d72cf5d03 - <<std[f18d9e43faf8528d]::thread::Builder>::spawn_unchecked_<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>::{closure#1} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::hcbb69bf7124e2abe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    27:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h7be566a46ffc8b21
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    28:     0x7f9d6ff984a5 - std::sys::unix::thread::Thread::new::thread_start::hd7af3ffb69b86edf
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f9d6fc94ac3 - start_thread
                                 at ./nptl/pthread_create.c:442:8
    30:     0x7f9d6fd26a40 - clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  stack backtrace:
     0:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::libunwind::trace::h01adf09c1aaf2eab
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5
     1:     0x7f9d6ff8b45c - std::backtrace_rs::backtrace::trace_unsynchronized::hb3620698cc0798b4
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
     2:     0x7f9d6ff8b45c - std::sys_common::backtrace::_print_fmt::h56e5d560c8c09485
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:68:5
     3:     0x7f9d6ff8b45c - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::hca9d80fb6e5ad5fe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:44:22
     4:     0x7f9d6ffde4a0 - core::fmt::rt::Argument::fmt::he80c0e0f0c31e8da
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/rt.rs:142:9
     5:     0x7f9d6ffde4a0 - core::fmt::write::h7acb2cb5522bc491
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/fmt/mod.rs:1120:17
     6:     0x7f9d6ff7f2bf - std::io::Write::write_fmt::h19435141596ff66c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/io/mod.rs:1810:15
     7:     0x7f9d6ff8b244 - std::sys_common::backtrace::_print::h062a00a21ffc3dcb
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:47:5
     8:     0x7f9d6ff8b244 - std::sys_common::backtrace::print::h120ea2df27b2be8f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:34:9
     9:     0x7f9d6ff8ded7 - std::panicking::default_hook::{{closure}}::hd7e3d5fa26e2ca93
    10:     0x7f9d6ff8dc3f - std::panicking::default_hook::h541732093fb638b5
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:292:9
    11:     0x7f9d72cf4190 - std[f18d9e43faf8528d]::panicking::update_hook::<alloc[c27557bba15c8b11]::boxed::Box<rustc_driver_impl[c56fb6e317b9b549]::install_ice_hook::{closure#0}>>::{closure#0}
    12:     0x7f9d6ff8e618 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h04510f5933ee8fe9
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2029:9
    13:     0x7f9d6ff8e618 - std::panicking::rust_panic_with_hook::h10b8d62d65c23db7
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:783:13
    14:     0x7f9d6ff8e36e - std::panicking::begin_panic_handler::{{closure}}::h2bc5b33298108b9d
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:657:13
    15:     0x7f9d6ff8b926 - std::sys_common::backtrace::__rust_end_short_backtrace::h4d52701c18fca37f
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys_common/backtrace.rs:171:18
    16:     0x7f9d6ff8e0d2 - rust_begin_unwind
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/panicking.rs:645:5
    17:     0x7f9d6ffdab65 - core::panicking::panic_fmt::hc7d083b823bc25c6
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:72:14
    18:     0x7f9d6ffda913 - core::panicking::panic_display::he67f8f212ca6850c
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:196:5
    19:     0x7f9d6ffda913 - core::panicking::panic_str::h80d96e2361b552dc
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/panicking.rs:171:5
    20:     0x7f9d6ffda913 - core::option::expect_failed::hc53d5bf30a61945b
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/core/src/option.rs:1980:5
    21:     0x7f9d72ccf5cb - rustc_data_structures[e65f6cb355c9ed62]::jobserver::acquire_thread
    22:     0x7f9d728f62d2 - <rayon_core[d8d19e7dd9b22867]::registry::ThreadBuilder>::run
    23:     0x7f9d72cf6077 - <<crossbeam_utils[3cd6860fb57732e3]::thread::ScopedThreadBuilder>::spawn<<rayon_core[d8d19e7dd9b22867]::ThreadPoolBuilder>::build_scoped<rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#0}, rustc_interface[921a47194d6ebb65]::util::run_in_thread_pool_with_globals<rustc_interface[921a47194d6ebb65]::interface::run_compiler<core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>, rustc_driver_impl[c56fb6e317b9b549]::run_compiler::{closure#0}>::{closure#0}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#3}::{closure#0}::{closure#1}, core[c8ad60286a7f2b9c]::result::Result<(), rustc_span[b99ab2601ab4e12]::ErrorGuaranteed>>::{closure#0}::{closure#0}::{closure#0}, ()>::{closure#0} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    24:     0x7f9d72cef4fe - std[f18d9e43faf8528d]::sys_common::backtrace::__rust_begin_short_backtrace::<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>
    25:     0x7f9d72cf5d03 - <<std[f18d9e43faf8528d]::thread::Builder>::spawn_unchecked_<alloc[c27557bba15c8b11]::boxed::Box<dyn core[c8ad60286a7f2b9c]::ops::function::FnOnce<(), Output = ()> + core[c8ad60286a7f2b9c]::marker::Send>, ()>::{closure#1} as core[c8ad60286a7f2b9c]::ops::function::FnOnce<()>>::call_once::{shim:vtable#0}
    26:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::hcbb69bf7124e2abe
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    27:     0x7f9d6ff984a5 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h7be566a46ffc8b21
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/alloc/src/boxed.rs:2015:9
    28:     0x7f9d6ff984a5 - std::sys::unix::thread::Thread::new::thread_start::hd7af3ffb69b86edf
                                 at /rustc/1fdfe1234795a289af1088aefa92ef80191cb611/library/std/src/sys/unix/thread.rs:108:17
    29:     0x7f9d6fc94ac3 - start_thread
                                 at ./nptl/pthread_create.c:442:8
    30:     0x7f9d6fd26a40 - clone3
                                 at ./misc/../sysdeps/unix/sysv/linux/x86_64/clone3.S:81
    31:                0x0 - <unknown>

  error: the compiler unexpectedly panicked. this is a bug.

  note: we would appreciate a bug report: https://github.com/rust-lang/rust/issues/new?labels=C-bug%2C+I-ICE%2C+T-compiler&template=ice.md

  note: compiler flags: -Z threads=6 --crate-type bin --crate-type rlib --crate-type dylib --crate-type cdylib --crate-type staticlib --crate-type proc-macro

  query stack during panic:
  end of query stack
  Rayon: detected unexpected panic; aborting

@SparrowLii
Copy link
Member

It will be fixed by #118589

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
C-bug Category: This is a bug. I-ICE Issue: The compiler panicked, giving an Internal Compilation Error (ICE) ❄️ T-compiler Relevant to the compiler team, which will review and decide on the PR/issue. WG-compiler-parallel Working group: Parallelizing the compiler
Projects
None yet
Development

No branches or pull requests

5 participants