Skip to content

Commit

Permalink
more LICENSE
Browse files Browse the repository at this point in the history
  • Loading branch information
zapashcanon committed Sep 15, 2023
1 parent f6fb021 commit ee3fe6e
Show file tree
Hide file tree
Showing 24 changed files with 103 additions and 0 deletions.
4 changes: 4 additions & 0 deletions src/choice_monad.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

open Choice_monad_intf

type vbool = Sym_value.S.vbool
Expand Down
4 changes: 4 additions & 0 deletions src/choice_monad_intf.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module type Base = sig
module V : Func_intf.Value_types

Expand Down
4 changes: 4 additions & 0 deletions src/const_interp.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

open Types
open Simplified
open Syntax
Expand Down
4 changes: 4 additions & 0 deletions src/env_id.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

type t = int

module IMap = Map.Make (Int)
Expand Down
4 changes: 4 additions & 0 deletions src/func_id.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

type t = int

module IMap = Map.Make (Int)
Expand Down
4 changes: 4 additions & 0 deletions src/func_intf.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module type Value_types = sig
type int32

Expand Down
4 changes: 4 additions & 0 deletions src/interpret_functor.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

[@@@ocaml.warning "-32-33"]

open Types
Expand Down
4 changes: 4 additions & 0 deletions src/interpret_functor_intf.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module type Memory_data = sig
type int32

Expand Down
4 changes: 4 additions & 0 deletions src/intf.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module type V = sig
type int32

Expand Down
4 changes: 4 additions & 0 deletions src/memory_limits.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

open Types

let page_size = 65_536
Expand Down
4 changes: 4 additions & 0 deletions src/runtime_intf.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module type Env = sig
type t

Expand Down
4 changes: 4 additions & 0 deletions src/stack_functor.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

open Stack_intf

module Make (V : Value) :
Expand Down
4 changes: 4 additions & 0 deletions src/stack_intf.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module type Value = Value_intf.T

module type S = sig
Expand Down
4 changes: 4 additions & 0 deletions src/sym_global.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module ITbl = Hashtbl.Make (struct
include Int

Expand Down
4 changes: 4 additions & 0 deletions src/sym_memory.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module Intf = Interpret_functor_intf
module Value = Sym_value.S

Expand Down
4 changes: 4 additions & 0 deletions src/sym_state.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module Def_value = Value
module Solver = Thread.Solver

Expand Down
4 changes: 4 additions & 0 deletions src/sym_table.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module ITbl = Hashtbl.Make (struct
include Int

Expand Down
4 changes: 4 additions & 0 deletions src/sym_value.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

open Encoding
open Expression

Expand Down
4 changes: 4 additions & 0 deletions src/thread.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module Solver = Encoding.Batch.Make (Encoding.Z3_mappings)

type 'a solver_module = (module Encoding.Solver_intf.S with type t = 'a)
Expand Down
4 changes: 4 additions & 0 deletions src/trap.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

type t =
| Out_of_bounds_table_access
| Out_of_bounds_memory_access
Expand Down
4 changes: 4 additions & 0 deletions src/type_id.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

type ('a, 'b) eq = Eq : ('a, 'a) eq

type _ externref_ty = ..
Expand Down
4 changes: 4 additions & 0 deletions src/value_intf.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module type Iop = sig
type num

Expand Down
4 changes: 4 additions & 0 deletions src/value_test.ml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
(* SPDX-License-Identifier: AGPL-3.0-or-later *)
(* Copyright © 2021 Léo Andrès *)
(* Copyright © 2021 Pierre Chambart *)

module V :
Value_intf.T
with type vbool = Bool.t
Expand Down
11 changes: 11 additions & 0 deletions tmp.wast
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
(module
(memory 1)
(data (i32.const 0) "ABC\a7D") (data (i32.const 20) "WASM")

(func (export "i64_load32_u") (param $i i64) (result i64)
(i64.store32 (i32.const 8) (local.get $i))
(i64.load32_u (i32.const 8))
)
)

(assert_return (invoke "i64_load32_u" (i64.const -1)) (i64.const 4294967295))

0 comments on commit ee3fe6e

Please sign in to comment.