{"payload":{"header_redesign_enabled":false,"results":[{"id":"325741129","archived":false,"color":"#adb2cb","followers":383,"has_funding_file":false,"hl_name":"Nuand/bladeRF-wiphy","hl_trunc_description":"bladeRF-wiphy is an open-source IEEE 802.11 compatible software defined radio VHDL modem","language":"VHDL","mirror":false,"owned_by_organization":true,"public":true,"repo":{"repository":{"id":325741129,"name":"bladeRF-wiphy","owner_id":3625151,"owner_login":"Nuand","updated_at":"2024-04-15T06:16:32.346Z","has_issues":true}},"sponsorable":false,"topics":["vhdl","rtl","hdl","ofdm","bladerf","ofdm-wireless-communications","80211","dsss"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":48,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253ANuand%252FbladeRF-wiphy%2B%2Blanguage%253AVHDL","metadata":null,"warn_limited_results":false,"csrf_tokens":{"/Nuand/bladeRF-wiphy/star":{"post":"4mEK34KbJdVocFQLHBZLg06mDqZif7pGHbBIGnfRTxe5T9mwbxz6Avdku6XnQi1dzBc27amILs--P11dXbd3zw"},"/Nuand/bladeRF-wiphy/unstar":{"post":"TNn7SeYGQzBSKxlUlzLKPXuXSe8XrEZfpGEa8r_9LExltGrBc_G4r60ixLLaYzTLPF_xYZukpjpckBq_ojxQGw"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"hSAcO8FCbp8ZQApvDKtBcejgzNbS-0MTxS6QCiTRA8DfpecUFDM1ipT7-o585CJOnwV38OKuLiZFlnz9DFrb9A"}}},"title":"Repository search results"}