Skip to content
This repository has been archived by the owner on May 10, 2022. It is now read-only.

Missing icestorm timing data #69

Closed
xobs opened this issue Nov 22, 2018 · 0 comments · Fixed by #76
Closed

Missing icestorm timing data #69

xobs opened this issue Nov 22, 2018 · 0 comments · Fixed by #76

Comments

@xobs
Copy link

xobs commented Nov 22, 2018

The contents of "icebox" does not contain timing data. The chipdb-.txt files are present, however timing_.txt files are missing.

Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
None yet
Projects
None yet
Development

Successfully merging a pull request may close this issue.

1 participant