From acf4a0828dd80d02e6b786c93b126ed333c42a6f Mon Sep 17 00:00:00 2001 From: Obijuan Date: Thu, 28 Apr 2022 19:35:08 +0200 Subject: [PATCH] iceWerx: blinky example added --- iceWerx/Blinky/Blinky.v | 19 +++++++++++++++++++ iceWerx/Blinky/apio.ini | 3 +++ iceWerx/Blinky/info | 1 + iceWerx/Blinky/pinout.pcf | 17 +++++++++++++++++ iceWerx/ledon/pinout.pcf | 5 ----- 5 files changed, 40 insertions(+), 5 deletions(-) create mode 100644 iceWerx/Blinky/Blinky.v create mode 100644 iceWerx/Blinky/apio.ini create mode 100644 iceWerx/Blinky/info create mode 100644 iceWerx/Blinky/pinout.pcf diff --git a/iceWerx/Blinky/Blinky.v b/iceWerx/Blinky/Blinky.v new file mode 100644 index 0000000..adcc957 --- /dev/null +++ b/iceWerx/Blinky/Blinky.v @@ -0,0 +1,19 @@ +//------------------------------------------------------------------ +//-- Blinking LED +//------------------------------------------------------------------ + +module Test ( + input CLK, // 12MHz clock + output LEDG // LED to blink +); + + reg [23:0] counter = 0; + + always @(posedge CLK) + counter <= counter + 1; + + assign LEDG = counter[23]; + +endmodule + + diff --git a/iceWerx/Blinky/apio.ini b/iceWerx/Blinky/apio.ini new file mode 100644 index 0000000..32359b0 --- /dev/null +++ b/iceWerx/Blinky/apio.ini @@ -0,0 +1,3 @@ +[env] +board = iceWerx + diff --git a/iceWerx/Blinky/info b/iceWerx/Blinky/info new file mode 100644 index 0000000..d8557db --- /dev/null +++ b/iceWerx/Blinky/info @@ -0,0 +1 @@ +Blinking the Green LED diff --git a/iceWerx/Blinky/pinout.pcf b/iceWerx/Blinky/pinout.pcf new file mode 100644 index 0000000..ffe2b8c --- /dev/null +++ b/iceWerx/Blinky/pinout.pcf @@ -0,0 +1,17 @@ +# ----------------------------------------------------------------------------- +#- iceWerx constraint file (.pcf) +#- By Juan Gonzalez (Obijuan) +#- April - 2022 +#- GPL license +# ----------------------------------------------------------------------------- + + +# ------------ User Leds ------------------------------------------------------ +#-- Red LED +set_io --warn-no-port LEDR A5 # output + +#-- Green LED +set_io --warn-no-port LEDG M4 # output + +#-- 12 Mhz clock +set_io --warn-no-port CLK P7 # input diff --git a/iceWerx/ledon/pinout.pcf b/iceWerx/ledon/pinout.pcf index b6e88df..fe4575c 100644 --- a/iceWerx/ledon/pinout.pcf +++ b/iceWerx/ledon/pinout.pcf @@ -9,8 +9,3 @@ # ------------ User Leds ------------------------------------------------------ #-- Red LED set_io --warn-no-port LEDR A5 # output - -#-- M4 - -#-- Reloj: -# set_io --warn-no-port clk12MHz P7 \ No newline at end of file